Academia.eduAcademia.edu
H C ↑I N CỌNG NGH B U CHệNH ↑I N THỌNG BÀI GI NG MÔN ĐI N T Gi ng viên: ThS. Tr n Thúy HƠ Đi n tho i/E-mail: 0912166577 / thuyhadt@gmail.com B môn: K thu t đi n t - Khoa KTDT1 Học kỳ/Năm biên so n: www.ptit.edu.vn V1.0 S B Học kỳ 1 năm 2009 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 1 BÀI GI NG MÔN :  Ch ng 1: H đ m Ch ng 2: Đ i s Boole vƠ các ph Ch ng 3: C ng logic TTL vƠ CMOS Ch ng 4: M ch logic t hợp Ch ng 5: M ch logic tu n t Ch ng 6: M ch phát xung vƠ t o d ng xung Ch ng 7: B nh bán d n. Ch ng 8: c u ki n logic kh trình (PLD) Ch ng 9: Ngôn ng mô t ph n c ng (VHDL) www.ptit.edu.vn V1.0 ĐI N T B ng pháp biểu di n hƠm GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 2 S BÀI GI NG MÔN : ĐI N T S Headline (Times New Roman Black 36pt.) CH NG 1. H đ m www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 3 BÀI GI NG MÔN : ĐI N T  1.1. Biểu di n s 1.2. Chuyển đ i c s gi a các h đ m 1.3. S nhị phơn có d u 1.4. D u ph y đ ng 1.5. M t s lo i mƣ nhị phơn thông d ng www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 4 S 1.1. Biểu di n s (1) BÀI GI NG MÔN : ĐI N T S  Nguyên t c chung  Dùng m t số hữu h n các ký hi u ghép v i nhau theo qui c về v trí. Các ký hi u nƠy th ng đ ợc g i lƠ chữ số. Do đó, ng i ta còn g i h đếm lƠ h thống số. Số ký hi u đ ợc dùng lƠ c số của h ký hi u lƠ r.  Giá tr bi u di n của các chữ khác nhau đ ợc phơn bi t thông qua tr ng số của h . Tr ng số của m t h đếm b t kỳ sẽ bằng ri, v i i lƠ số nguyên d ng hoặc ơm.  Tên gọi, s kỦ hi u vƠ c s c a m t vƠi h đ m thông d ng Tên h đ m S kỦ hi u C s (r) H nh phơn (Binary) H bát phơn (Octal) H th p phơn (Decimal) H th p lục phơn (Hexadecimal) 0, 1 0, 1, 2, 3, 4, 5, 6, 7 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F 2 8 10 16 Chú Ủ: Ng i ta cũng có thể gọi h đ m theo c s c a chúng. Ví d : H nhị phơn = H c s 2, H th p phơn = H c s 10... www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 5 1.1. Biểu di n s (2) BÀI GI NG MÔN : ĐI N T  Biểu di n s t ng quát: N  a n 1  r n 1  ...  a1  r1  a 0  r 0  a 1  r 1  ...  a  m  r  m   a i  ri m n 1 N10  d n 1 10n 1  ...  d1 101  d0 100  d 1 101  ...  d  m 10 m   di 10i m n 1 N 2  b n 1  2n 1  ...  b1  21  b0  20  b 1  21  ...  b  m  2 m   bi  2i m n 1 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 6 S 1.1. Biểu di n s (3) BÀI GI NG MÔN : ĐI N T N8  On 1  8n 1  ...  O0  80  O 1  81  ...  O  m  8 m   Oi  8i m n 1 N16  H n 1 16n 1  ....  H0 160  H 1 161  ....  H m 16 m   Hi 16i m n 1 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 7 S BÀI GI NG MÔN : 1.2. Chuyển đ i c s gi a các h đ m ĐI N T 1.1. Biểu di n s  1.2. Chuyển đ i c s gi a các h đ m 1.3. S nhị phơn có d u 1.4. D u ph y đ ng 1.5. M t s lo i mƣ nhị phơn thông d ng www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 8 S BÀI GI NG MÔN : Chuyển đ i t h c s 10 sang các h khác ĐI N T S QUY T C:  Đ i v i ph n nguyên:  Chia liên tiếp ph n nguyên của số th p phơn cho c số của h c n chuy n đến, số d sau m i l n chia viết đ o ng ợc tr t tự lƠ kết qu c n tìm.  Phép chia d ng l i khi kết qu l n chia cuối cùng bằng 0.  Đ i v i ph n phơn s :  Nhơn liên tiếp ph n phơn số của số th p phơn v i c số của h c n chuy n đến, ph n nguyên thu đ ợc sau m i l n nhơn, viết tu n tự lƠ kết qu c n tìm.  Phép nhơn d ng l i khi ph n phơn số tri t tiêu. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9 BÀI GI NG MÔN : Đ i m t biểu di n trong h b t kì sang h 10 ĐI N T S  Công th c chuyển đ i: N10  a n 1  r n 1  a n 2  r n 2 ....  a 0  r 0  a 1  r 1  ....  a m  r m  Thực hi n l y tổng vế ph i sẽ có kết qu c n tìm. Trong bi u thức trên, ai vƠ r lƠ h số vƠ c số h có bi u di n.  Ví d : Chuyển 1101110.102 sang h th p phơn N10  1 26  1 25  0  24  1 23  1 22  1 21  0  20  1 21  0  22  64  32  0  8  4  2  0  0.5  0  110.5 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 10 BÀI GI NG MÔN : Đ i các s t h nhị phơn sang h c s 8, 16 ĐI N T S  Quy t c:  Vì 8 = 23 và 16 = 24 nên ta chỉ c n dùng m t số nh phơn 3 bit lƠ đủ ghi 8 ký hi u của h c số 8 vƠ t nh phơn 4 bit cho h c số 16.  Do đó, muốn đổi m t số nh phơn sang h c số 8 vƠ 16 ta chia số nh phơn c n đổi, k t d u phơn số sang trái vƠ ph i thƠnh t ng nhóm 3 bit hoặc 4 bit. Sau đó thay các nhóm bit đƣ phơn bằng ký hi u t ng ứng của h c n đổi t i. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 11 1.3. S nhị phơn có d u BÀI GI NG MÔN : ĐI N T 1.1. Biểu di n s 1.2. Chuyển đ i c s gi a các h đ m  1.3. S nhị phơn có d u 1.4. D u ph y đ ng 1.5. M t s lo i mƣ nhị phơn thông d ng www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 12 S 3 ph BÀI GI NG MÔN : ng pháp biểu di n s nhị phơn có d u ĐI N T S  S d ng m t bit d u.  Trong ph ng pháp này ta dùng m t bit phụ, đứng tr c các bit tr số đ bi u di n d u, „0‟ chỉ d u d ng (+), „1‟ chỉ d u âm (-).  S d ng phép bù 1.  Giữ nguyên bit d u và l y bù 1 các bit tr số (bù 1 bằng đ o của các bit c n đ ợc l y bù).  S d ng phép bù 2  Là ph ng pháp phổ biến nh t. Số d ng th hi n bằng số nh phân không bù (bit d u bằng 0), còn số âm đ ợc bi u di n qua bù 2 (bit d u bằng 1). Bù 2 bằng bù 1 c ng 1.  Có th bi u di n số âm theo ph ng pháp bù 2 xen kẽ: bắt đ u t bit LSB, d ch về bên trái, giữ nguyên các bit cho đến gặp bit 1 đ u tiên và l y bù các bit còn l i. Bit d u giữ nguyên. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 13 BÀI GI NG MÔN : C ng vƠ tr các s theo biểu di n bit d u ĐI N T S  Phép c ng  Hai số cùng dấu: c ng hai ph n tr số v i nhau, còn d u lƠ d u chung.  Hai số khác dấu và số dương lớn hơn: c ng tr số của số d ng v i bù 1 của số ơm. Bit trƠn đ ợc c ng thêm vƠo kết qu trung gian. D u lƠ d u d ng.  Hai số khác dấu và số dương lớn hơn: c ng tr số của số d ng v i bù 1 của số ơm. L y bù 1 của tổng trung gian. D u lƠ d u ơm.  Phép tr .  Nếu l u ý rằng, - (-) = + thì trình tự thực hi n phép tr trong tr ng hợp nƠy cũng giống phép c ng. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 14 BÀI GI NG MÔN : C ng vƠ tr các s theo biểu di n bù 1  Phép c ng  Hai số dương: c ng nh c ng nh phơn thông th d u. ĐI N T S ng, k c bit  Hai số âm: bi u di n chúng d ng bù 1 vƠ c ng nh c ng nh phơn, k c bit d u. Bit trƠn c ng vƠo kết qu . Chú ý, kết qu đ ợc viết d i d ng bù 1.  Hai số khác dấu và số dương lớn hơn: c ng số d ng v i bù 1 của số ơm. Bit trƠn đ ợc c ng vƠo kết qu .  Hai số khác dấu và số âm lớn hơn: c ng số d ng v i bù 1 của số ơm. Kết qu không có bit trƠn vƠ d ng bù 1.  Phép tr  Đ thực hi n phép tr , ta l y bù 1 của số tr , sau đó thực hi n các b c nh phép c ng. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 15 BÀI GI NG MÔN : C ng vƠ tr các s theo biểu di n bù 2  Phép c ng  Hai số dương: c ng nh c ng nh phơn thông th d ng. ĐI N T S ng. Kết qu lƠ  Hai số âm: l y bù 2 c hai số h ng vƠ c ng, kết qu d ng bù 2.  Hai số khác dấu và số dương lớn hơn: l y số d ng c ng v i bù 2 của số ơm. Kết qu bao gồm c bit d u, bit trƠn bỏ đi.  Hai số khác dấu và số âm lớn hơn: số d ng đ ợc c ng v i bù 2 của số ơm, kết qu d ng bù 2 của số d ng t ng ứng. Bit d u là 1.  Phép tr  Phép tr hai số có d u lƠ các tr www.ptit.edu.vn V1.0 B ng hợp riêng của phép c ng. GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 16 1.4. D u ph y đ ng BÀI GI NG MÔN : ĐI N T 1.1. Biểu di n s 1.2. Chuyển đ i c s gi a các h đ m 1.3. S nhị phơn có d u  1.4. D u ph y đ ng 1.5. M t s lo i mƣ nhị phơn thông d ng www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 17 S Biểu di n theo d u ph y đ ng BÀI GI NG MÔN : ĐI N T S  G m hai ph n: s mũ E (ph n đặc tính) và ph n định trị M (tr ng phân s ). E có thể có đ dài t 5 đ n 20 bit, M t 8 đ n 200 bit ph thu c vào t ng ng d ng và đ dài t máy tính. Thông th ng dùng 1 s bit để biểu di n E và các bit còn l i cho M v i đi u ki n: X  2E x  M x  1/ 2  M  1  E và M có thể đ ợc biểu di n d ng bù 2. Giá trị c a chúng đ ợc hi u chỉnh để đ m b o m i quan h trên đơy đ ợc gọi là chu n hóa. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 18 BÀI GI NG MÔN : Các phép tính v i biểu di n d u ph y đ ng ĐI N T S  Gi ng nh các phép tính c a hƠm mũ. Gi s có hai s theo d u ph y đ ng đƣ chu n hóa: X  2E x  M x  thì:  Nhân:  Chia: Z  X.Y  2 E x E y W  X/Y  2 Y2  Mx .My   2E E x E y Ey  M x / M y   2E Z  My  Mz w Mw  Mu n l y t ng vƠ hi u, c n đ a các s h ng v cùng s mũ, sau đó s mũ c a t ng vƠ hi u s l y s mũ chung, còn định trị c a t ng vƠ hi u s bằng t ng vƠ hi u các định trị. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 19 BÀI GI NG MÔN : ĐI N T S Headline Roman lo i mƣ nhịNew phơn thông d ng Black 36pt.) • 1.5. M t s (Times 1.1. Biểu di n s 1.2. Chuyển đ i c s gi a các h đ m 1.3. S nhị phơn có d u 1.4. D u ph y đ ng • 1.5. M t s lo i mƣ nhị phơn thông d ng www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 20 BÀI GI NG MÔN : ĐI N T S Headline Roman • 1.5. M t s (Times lo i mƣ nhịNew phơn thông d ng Black 36pt.) Tr ng số của mƣ BCD Số th p phân 0 8421 0000 7421 0000 5121 0000 2421 0000 4221 0000 1 0001 0001 0001 0001 0001 2 0010 0010 0010 0010 0010 3 0011 0011 0011 0011 0011 4 0100 0100 0111 0100 1000 5 0101 0101 1000 1011 0111 6 0110 0110 1001 1100 1100 7 0111 1000 1010 1101 1101 8 1000 1001 1011 1110 1110 9 1001 1010 1111 1111 1111 Cấu tạo mã BCD với các trọng số khác nhau. www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 21 BÀI GI NG MÔN : ĐI N T S Headline Roman • 1.5. M t s (Times lo i mƣ nhịNew phơn thông d ng Black 36pt.) Số th p phơn Số nh phân Mƣ d 3 Mã Gray Mã Gray D 3 Mã Johnson Mã vòng 0 0000 0011 0000 0010 00000 0000000001 1 0001 0100 0001 0110 10000 0000000010 2 0010 0101 0011 0111 11000 0000000100 3 0011 0110 0010 0101 11100 0000001000 4 0100 0111 0110 0100 11110 0000010000 5 0101 1000 0111 1100 11111 0000100000 6 0110 1001 0101 1101 01111 0001000000 7 0111 1010 0100 1111 00111 0010000000 8 1000 1011 1100 1110 00011 0100000000 9 1001 1100 1101 1010 00001 1000000000 Cấu tạo của một số mã nhị phân thông dụng. www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 22 Ch ng 2: Đ i s Boole vƠ các ph Ch ng 1: H đ m • Ch ng 2: Đ i s Boole vƠ các ph ng pháp biểu di n hƠm Ch ng 3: C ng logic TTL vƠ CMOS Ch ng 4: M ch logic t hợp Ch ng 5: M ch logic tu n t Ch ng 6: M ch phát xung vƠ t o d ng xung Ch ng 7: B nh bán d n. Ch ng 8: c u ki n logic kh trình (PLD) Ch ng 9: Ngôn ng mô t ph n c ng (VHDL) www.ptit.edu.vn V1.0 ĐI N T S ng pháp biểu di n hƠm BÀI GI NG MÔN : B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 23 BÀI GI NG MÔN : ĐI N T S Headline (Times New Roman Black 36pt.) CH Đ IS www.ptit.edu.vn V1.0 NG 2. BOOLE GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 24 2.1. Các định lỦ BÀI GI NG MÔN : ĐI N T S  Các định lỦ c b n: Tên gọi Stt D ng tích D ng t ng 1 Đ ng nh t X.1 = X X+0=X 2 Ph n t 0, 1 X.0 = 0 3 Bù X  X 1 4 B t bi n X.X  0 X+1=1 X.X = X X+X=X 5 H p th X + X.Y = X X.(X + Y) = X 6 Ph định đúp 7 Định lỦ DeMorgan X Y 1 Z X=X  X.Y.Z...  X  Y  Z  ...  X  Y  Z  ...  X.Y.Z...  Các định lu t c b n:  Hoán v : X.Y = Y.X, X + Y = Y + X  Kết hợp: X.(Y.Z) = (X.Y).Z, X + (Y + Z) = (X + Y) + Z  Phơn phối: X.(Y + Z) = X.Y + X.Z, (X + Y).(X + Z) = X + Y.Z www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 25 2.2. Các ph Có 3 ph BÀI GI NG MÔN : ng pháp biểu di n hƠm Boole ĐI N T ng pháp biểu di n:  B ng tr ng thái  B ng các nô (Karnaugh)  Ph ng pháp đ i s www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 26 S 2.2.1. Ph BÀI GI NG MÔN : ng pháp B ng tr ng thái  Li t kê giá trị (tr ng thái) m i bi n theo t ng c t vƠ giá trị hƠm theo m t c t riêng (th ng lƠ bên ph i b ng). B ng tr ng thái còn đ ợc gọi là bảng sự thật hay bảng chân lý.  Đ i v i hƠm n bi n s có 2n t hợp đ c l p. Các t hợp nƠy đ ợc kí hi u bằng ch mi, v i i = 0 † 2n -1 vƠ có tên gọi lƠ các hạng tích hay còn gọi lƠ mintex. www.ptit.edu.vn V1.0 B ĐI N T S m A B C f m0 0 0 0 0 m1 0 0 1 0 m2 0 1 0 0 m3 0 1 1 0 m4 1 0 0 0 m5 1 0 1 0 m6 1 1 0 0 m7 1 1 1 1 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 27 BÀI GI NG MÔN : 2.2.2. Ph ng pháp B ng Các nô (Karnaugh)  T ch c c a b ng Các nô: B  Các tổ hợp biến đ ợc viết theo m t dòng A 0 (th ng lƠ phía trên) vƠ m t c t (th ng là bên trái) . 1  M t hƠm logic có n biến sẽ có 2n ô. BC  M i ô th hi n m t h ng tích hay m t A h ng tổng, các h ng tích trong hai ô kế 0 cận chỉ khác nhau m t biến. 1 CD AB ĐI N T 0 1 00 01 11 10 00 01 11 10 00 01 11 10 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S 28 2.2.3. Ph BÀI GI NG MÔN : ng pháp đ i s ĐI N T S  Có 2 d ng biểu di n lƠ d ng tuyển (tổng các tích) vƠ d ng hội (tích các tổng).  D ng tuy n: M i số h ng lƠ m t hạng tích hay mintex, th ng kí hi u bằng chữ "mi".  D ng h i: M i th a số lƠ hạng tổng hay maxtex, th ng đ ợc kí hi u bằng chữ "Mi".  N u trong t t c m i h ng tích hay h ng t ng có đ mặt các bi n, thì d ng t ng các tích hay tích các t ng t ng ng đ ợc gọi lƠ d ng chuẩn. D ng chu n lƠ duy nh t.  T ng quát, hƠm logic n bi n có thể biểu di n chỉ bằng m t d ng t ng các tích: n f  X n 1,..., X0    ai mi 2 1 i 0 hoặc bằng chỉ m t d ng tích các t ng: f  X n 1,..., X0     a i  mi  2n 1 i 0 ai chỉ l y hai giá trị 0 hoặc 1. Đ i v i m t hƠm thì mintex vƠ maxtex lƠ bù c a nhau. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 29 2.3. Các ph Có 3 ph BÀI GI NG MÔN : ng pháp rút gọn hƠm ĐI N T ng pháp rút gọn hƠm:  Ph ng pháp đ i s  Ph ng pháp Quine Mc. Cluskey  B ng Cácnô www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 30 S BÀI GI NG MÔN : ĐI N T S 2.3.1. Ph ng pháp đ i s  D a vào các định lý đƣ học để đ a biểu th c v d ng t i gi n.  Ví d : Hãy đ a hàm logic v d ng t i gi n: f  AB  AC  BC Áp d ng định lý , A  A 1 , ta có: X  XY  X f  AB  AC  BC  A  A   AB  ABC  AC  ABC  AB  AC V y n u trong t ng các tích, xu t hi n m t bi n và đ o c a bi n đó trong hai s h ng khác nhau, các th a s còn l i trong hai s h ng đó t o thành th a s c a m t s h ng th ba thì s h ng th ba đó là th a và có thể b đi. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 31 2.3.2. Ph ĐI N T S 00 11 10 00 1 1 01 1 1 1 1 1 1 BÀI GI NG MÔN : ng pháp B ng Các nô (Karnaugh)  Ph ng pháp này th ng đ ợc dùng đ rút g n các hàm có số biến không v ợt quá 5.  Các b c t i thiểu hóa:  1. G p các ô kế c n có giá tr „1‟ (hoặc „0‟) l i thành t ng nhóm 2, 4, ...., 2i ô. Số ô trong m i nhóm càng l n kết qu thu đ ợc càng tối gi n. M t ô có th đ ợc g p nhiều l n trong các nhóm khác nhau. Nếu g p theo các ô có giá tr „0‟ ta sẽ thu đ ợc bi u thức bù của hàm. CD AB 11 1 10 f1 = AB 01 1 f2 = C  2. Thay m i nhóm bằng m t h ng tích m i, trong đó giữ l i các biến giống nhau theo dòng vƠ c t.  3. C ng các h ng tích m i l i, ta có hƠm đƣ tối gi n (Đối v i minterm). www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 32 2.3.3. Ph BÀI GI NG MÔN : ng pháp Quine Mc. Cluskey ĐI N T S  Ph ng pháp này có th tối thi u hóa đ ợc hàm nhiều biến và có th tiến hành công vi c nh máy tính.  Các b c t i thiểu hóa: 1. L p b ng li t kê các h ng tích d i d ng nh phân theo t ng nhóm v i số bit 1 giống nhau và xếp chúng theo số bit 1 tăng d n. 2. G p 2 h ng tích của m i cặp nhóm chỉ khác nhau 1 bit đ t o các nhóm m i. Trong m i nhóm m i, giữ l i các biến giống nhau, biến bỏ đi thay bằng m t d u ngang (-). Lặp l i cho đến khi trong các nhóm t o thành không còn kh năng g p nữa. M i l n rút g n, ta đánh d u # vào các h ng ghép cặp đ ợc. Các h ng không đánh d u trong m i l n rút g n sẽ đ ợc t p hợp l i đ lựa ch n bi u thức tối gi n. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 33 Ph  B BÀI GI NG MÔN : ng pháp Quine Mc. Cluskey (ti p) B ng b H ng tích sắp xếp Nh phơn (ABCD) 10 12 11 13 14 15 B 1010 1100 1011 1101 1110 1111 Rút g n l n 1 (ABCD) 1011-10 11011-0 1-11 11-1 111- # # # # # # # Rút g n l n thứ 2 (ABCD) 11-1-1- (10,11) (10,14) (12,13) (12,14) (11,15) (13,15) (14,15) (12,13,14,15) (10,11,14,15) c 2: Th c hi n nhóm các h ng tích Ta nh n th y rằng 4 c t có duy nh t m t d u "x" ứng v i hai h ng 11-và 1-1-. Do đó, bi u thức tối gi n lƠ: f  A, B,C, D   AB  AC www.ptit.edu.vn V1.0 S c 1: L p b ng B ng a  ĐI N T B A BCD 11-1-1- 10 11 12 x x 13 14 15 x x x x x x GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 34 BÀI GI NG MÔN : ĐI N T S Headline (Times New Roman Black 36pt.) CH NG 3. C NG LOGIC www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 35 BÀI GI NG MÔN : ĐI N T S Headline (Times New Roman Black 36pt.) N i dung  C ng logic c b n  M t s c ng ghép thông d ng  Logic d ng vƠ logic ơm  Các tham s chính  Các họ c ng logic www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 36 BÀI GI NG MÔN : 3.1.C ng logic c b n: AND, OR, NOT ĐI N T  C ng AND  C ng OR  C ng NOT www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 37 S BÀI GI NG MÔN : 3.1.1. C ng AND ĐI N T S  HƠm ra c a c ng AND 2 vƠ nhi u bi n vƠo nh sau: f  f (A, B)  AB; f  f (A, B,C, D,...)  A.B.C.D... KỦ hi u c ng AND A A B f B A B C A B C f Chu n ANSI www.ptit.edu.vn V1.0 B ng tr ng thái c ng AND 2 l i vƠo 0 & f 0 0 0 0 & 0 0 Chu n IEEE B f A B f A B f 0 0 0 L L L 0 1 0 L H L 1 0 0 H L L 1 1 1 H H H Theo giá trị logic GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Theo mức logic 38 BÀI GI NG MÔN : 3.1.2. C ng OR ĐI N T S  HƠm ra c a c ng OR 2 vƠ nhi u bi n vƠo nh sau: f  f (A, B)  A  B; f  f (A, B,C, D,...)  A  B  C  D  ... B ng tr ng thái c ng OR 2 l i vƠo KỦ hi u c ng OR A B A B A B C A B C f f Chu n ANSI www.ptit.edu.vn V1.0 0 >=1 f 0 B f A B f 0 0 0 L L L 0 1 1 L H H 1 0 1 H L H 1 1 1 H H H 0 0 0 >=1 0 0 Chu n IEEE B A f Theo giá trị logic GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Theo mức logic 39 BÀI GI NG MÔN : 3.1.3. C ng NOT  HƠm ra c a c ng NOT: f A B ng tr ng thái c ng NOT f A f f A Chu n ANSI A f A f 0 1 L H 1 0 H L Theo giá trị logic Theo mức logic Chu n IEEE A A D ng xung ra www.ptit.edu.vn V1.0 B S f A KỦ hi u c ng NOT A ĐI N T GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 40 BÀI GI NG MÔN : 3.2. M t s c ng ghép thông d ng ĐI N T  C ng NAND  C ng NOR  C ng khác d u (XOR)  C ng đ ng d u (XNOR) www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 41 S BÀI GI NG MÔN : 3.2.1. C ng NAND ĐI N T S  Ghép n i ti p m t c ng AND v i m t c ng NOT ta đ ợc c ng NAND. A B AB f = AB  HƠm ra c a c ng NAND 2 vƠ nhi u bi n vƠo nh sau: f  AB f  ABCD... KỦ hi u c ng NAND A B A B A B C A B C f f Chu n ANSI www.ptit.edu.vn V1.0 B ng tr ng thái c ng NAND 2 l i vƠo 0 & f 0 B f A B f 0 0 1 L L H 0 1 1 L H H 1 0 1 H L H 1 1 0 H H L 0 0 0 & 0 0 Chu n IEEE B A f Theo giá trị logic GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Theo mức logic 42 BÀI GI NG MÔN : 3.2.2. C ng NOR ĐI N T S  Ghép n i ti p m t c ng OR v i m t c ng NOT ta đ ợc c ng NOR. A B A+B f = A+B  HƠm ra c a c ng NOR 2 vƠ nhi u bi n vƠo nh sau: f AB f  A  B  C  D  ... KỦ hi u c ng NOR A B A B A B C A B C f f Chu n ANSI www.ptit.edu.vn V1.0 B ng tr ng thái c ng NOR 2 l i vƠo 0 >=1 f 0 0 0 0 >=1 0 0 Chu n IEEE B f A B f A B f 0 0 1 L L H 0 1 0 L H L 1 0 0 H L L 1 1 0 H H L Theo giá trị logic GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Theo mức logic 43 3.2.3. C ng XOR - c ng khác d u BÀI GI NG MÔN : ĐI N T S  Cổng XOR còn gọi lƠ cổng khác dấu, hay cộng modul 2. AB A B f = AB + AB A AB B  HƠm ra c a c ng XOR 2 bi n vƠo nh sau: f  AB  AB hay KỦ hi u c ng XOR A B A B A B C A B C f f Chu n ANSI www.ptit.edu.vn V1.0 B ng tr ng thái c ng XOR 2 l i vƠo 0 =1 f 0 0 0 0 =1 0 0 Chu n IEEE B f  AB f A B f A B f 0 0 0 L L L 0 1 1 L H H 1 0 1 H L H 1 1 0 H H L Theo giá trị logic GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Theo mức logic 44 BÀI GI NG MÔN : 3.2.4. C ng XNOR - c ng đ ng d u ĐI N T S  Cổng XNOR còn gọi lƠ cổng đồng dấu. A AB B f = AB + AB A B AB  HƠm ra c a c ng XNOR 2 bi n vƠo nh sau: f  AB  AB hay f  AB  A KỦ hi u c ng XNOR A B A B A B C A B C f f Chu n ANSI www.ptit.edu.vn V1.0 B ng tr ng thái c ng XNOR 2 l i vƠo 0 = f 0 0 0 0 = 0 0 Chu n IEEE B B f A B f A B f 0 0 1 L L H 0 1 0 L H L 1 0 0 H L L 1 1 1 H H H Theo giá trị logic GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Theo mức logic 45 3.2.5. Logic d BÀI GI NG MÔN : ng vƠ logic ơm ĐI N T S  Logic dương lƠ logic có đi n th m c cao H luôn l n h n đi n th m c th p L (VH > VL).  Logic âm lƠ đ o c a logic d ng (VH < VL).  Khái ni m logic ơm th ng đ ợc dùng đ bi u di n tr các biến.  Logic ơm vƠ mức ơm của logic lƠ hoƠn toƠn khác nhau. V H L 0 1 1 0 0 1 1 0 1 1 0 0 1 0 t 0 a) Logic d ng v i mức d ng. V 0 H t 0 1 1 0 0 1 0 1 1 1 0 0 1 0 L b) Logic d www.ptit.edu.vn V1.0 B ng v i mức ơm. GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 46 3.3. Các tham s chính BÀI GI NG MÔN : ĐI N T  M c logic  Đ ch ng nhi u  H s ghép t i K  Công su t tiêu th  Tr truy n lan www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 47 S BÀI GI NG MÔN : 3.3.1. M c logic 5v VVHmax VRHmax ĐI N T S VRHmax VVHmax 4,9v VRHmin 0,1v VRLmax NH 4v VVHmin 3,5v VVLmax 1,5v 3v NH VVHmin 2v 2,4v VRHmin NL 1v 0,8v VVLmax NL 0,4v VRLmax 0v Họ TTL Họ CMOS M c logic là m c đi n th trên đ u vào và đ u ra c a c ng t ng ng v i logic "1" và logic "0", nó ph thu c đi n th ngu n nuôi c a c ng (Vcc đ i v i họ TTL (Transistor Transistor Logic) và Vdd đ i v i họ MOS (Metal Oxide Semiconductor)). www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 48 3.3.2. Đ ch ng nhi u ĐI N T BÀI GI NG MÔN : S  Đ ch ng nhi u (hay đ phòng v nhi u) là m c nhi u l n nh t tác đ ng t i l i vào hoặc l i ra c a c ng mà ch a làm thay đ i tr ng thái v n có c a nó. VNH VNL VVL TTL VRH VVH Cổng I TTL Cổng II Tác đ ng nhi u khi m c ra cao VVH TTL VRL Cổng I VVL VRH TTL Cổng II b) Tác đ ng nhi u khi m c ra th p VRHmin  VNH  VVHmin  VNH  VVHmin  VRHmin VRLmax  VNL  VVLmax  VNL  VVLmax  VRLmax www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 49 BÀI GI NG MÔN : ĐI N T S 3.3.3. H s ghép t i K  Cho bi t kh năng n i đ ợc bao nhiêu l i vào t i đ u ra c a 1 c ng đƣ cho.  H s ghép t i ph thu c dòng ra (hay dòng phun) c a c ng chịu t i và dòng vào (hay dòng hút) c a các c ng t i c hai tr ng thái H, L. Cổng ch u t i Các cổng t i H A B Cổng ch u t i L A B IRL IRH a) M c ra c a c ng chịu t i là H  Công th c tính h s ghép t i: www.ptit.edu.vn V1.0 Các cổng t i B Kt  b) M c ra c a c ng chịu t i là L I RL max I RL GI NG VIÊN: ThS. TR N THÚY HÀ ; gi ngT ĐI Đi n MÔN: KBƠi THU N tT s- KHOA KTDT1 50 3.3.4. Công su t tiêu th BÀI GI NG MÔN : +Vcc S +Vcc ICCH L H ĐI N T H H H ICCL L Hai trạng thái tiêu thụ dòng của cổng logic ICCH - LƠ dòng tiêu th khi đ u ra l y m c H, ICCL - LƠ dòng tiêu th khi đ u ra l y m c L.  Theo th ng kê, tín hi u s có t l bit H / bit L kho ng 50%. Do đó, dòng tiêu th trung bình ICC đ ợc tính theo công th c: ICC = (ICCH + ICCL)/ 2  Công su t tiêu th trung bình c a m i c ng s lƠ: P0 = ICC . VCC www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 51 3.3.5. Tr truy n lan BÀI GI NG MÔN : ĐI N T S  Tín hi u đi qua m t c ng ph i m t m t kho ng th i gian, đ ợc gọi lƠ tr truy n lan. Vào Ra Vào Ra tTHL tTLH  Tr truy n lan x y ra t i c hai s n c a xung ra. N u kí hi u tr truy n lan ng v i s n tr c lƠ tTHL vƠ s n sau lƠ tTLH thì tr truy n lan trung bình lƠ: tTbtb = (t THL + tTLH)/2 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 52 3.4. Các họ c ng logic BÀI GI NG MÔN : ĐI N T  Họ DDL  Họ DTL  Họ RTL  Họ TTL  Họ MOS FET  Họ ECL www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 53 S BÀI GI NG MÔN : 3.4.1. Họ DDL ĐI N T S  DDL (Diode Diode Logic) lƠ họ c ng logic do các diode bán d n t o thƠnh. C ng AND, OR 2 l i vƠo họ DDL: B ng tr ng thái thể hi n nguyên lỦ ho t đ ng c a các c ng AND, OR họ DDL +5V D1 A B AND R1 f A B D2 f a) Cổng AND D1 f A A B D2 B R1 b) Cổng OR www.ptit.edu.vn V1.0 B f A(V) B(V) OR f(V) A(V) B(V) f(V) 0 0 0,7 0 0 0 0 3 0,7 0 5 4,3 3 0 0,7 5 0 4,3 3 3 4,7 5 5 4,3 Theo mức điện áp vào/ra GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 54 BÀI GI NG MÔN : 3.4.2. Họ DTL ĐI N T S  Để th c hi n ch c năng đ o, ta có thể đ u n i ti p v i các c ng DDL m t transistor công tác ch đ khoá. M ch c ng nh th đ ợc gọi là họ DTL (Diode Transistor Logic).  Ví d các c ng NOT, NAND thu c họ DTL +5V +5V +5V 2k 2k 4k 4k f f D1 D2 D3 D1 +5V Q1 A 5k D2 D3 Q1 A D4 5k B  Bằng cách t ng t , ta có thể thi t l p c ng NOR hoặc các c ng liên hợp ph c t p h n. a) www.ptit.edu.vn V1.0 b) B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 55 ĐI N T BÀI GI NG MÔN : 3.4.3. Họ RTL S  Họ RTL (Resistor Transistor Logic) lƠ các c ng logic đ ợc c u t o b i các đi n tr vƠ transistor. C ng NOT họ RTL 1k 1k D 1k A D Z 1k 1k 5,7 V 0V 10 k -12 V 5,7 V B 0V 5V 0V 10 k -12 V 0V A(V) f(V) 0 5,7 5 0 www.ptit.edu.vn B 0V B ng tr ng thái B ng tr ng thái V1.0 5V 12 V 5V 12 V 5V 0V C ng NOR 2 l i vƠo họ RTL A(V) B(V) f(V) 0 0 5,7 0 5 0 5 0 0 5 5 0 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 56 BÀI GI NG MÔN : 3.4.4. Họ TTL ĐI N T S  Thay các đi t đ u vƠo họ DTL thƠnh transistor đa l p ti p giáp BE ta đ ợc họ TTL (Transistor Transistor Logic).  M    t s m ch TTL M ch cổng NAND M ch cổng OR M ch cổng collector đ h  M ch cổng TTL 3 tr ng thái  H TTL có diode Schottky ( TTL + S ) www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 57 3.4.4.1. M ch c ng NAND TTL  BÀI GI NG MÔN : ĐI N T S S đ nguyên lý c a m ch NAND TTL có thể đ ợc chia ra thành 3 ph n. +Vcc R1 4k R2 1,6k R3 300 Q3 A Q1 Q2 D3 A f B f B Q4 D1 D2 R4 1k  M ch đ u vào: g m Transistor Q1, tr R1 và các diode D1, D2.  M ch gi a: g m Transistor Q2, các tr R2, R4.  M ch đ u ra: g m Q3, Q4, R3 và diode D3. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 58 BÀI GI NG MÔN : 3.4.4.2. M ch c ng OR TTL ĐI N T S đ nguyên lỦ c a m ch NAND TTL có thể đ ợc chia ra thƠnh 3 ph n. +Vcc R2 4k R1 4k R3 1,6k D3 R5 1,6k R7 130 Q7 Q6 A Q4 Q1 B Q2 D1 D2 D4 f Q3 Q8 Q5 R4 1 k R6 1 k S đồ m ch đi n của m t cổng OR TTL 2 lối vƠo. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 59 S BÀI GI NG MÔN : 3.4.4.3. M ch c ng collector để h ĐI N T S  Nh ợc điểm c a họ c ng TTL có m ch ra khép kín là h s t i đ u ra không thể thay đ i, nên nhi u khi gây khó khăn trong vi c k t n i v i đ u vào c a các m ch đi n t t ng sau. C ng logic collector để h kh c ph c đ ợc nh ợc điểm này. +5V R1 4k A Q1 R2 1,6k  Q2 Q3 D1 A f f R3 1,6k  Hình trên là s đ c a m t c ng TTL đ o collector h tiêu chu n. Mu n đ a c ng vào ho t đ ng, c n đ u thêm tr gánh ngoài, t c c collector đ n +Vcc. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 60 BÀI GI NG MÔN : 3.4.4.4.M ch c ng TTL 3 tr ng thái +5V R1 4k R2 4k D1 R3 1,6k ĐI N T +Vcc R5 130 R5 Q4 A Q3 Q1 B Q5 Q2 R4 1k V1.0 Lối ra Z cao f E www.ptit.edu.vn Q4 D2 B Q5 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 61 S 3.4.5. Họ MOS FET BÀI GI NG MÔN : ĐI N T S  Bán d n tr ng (MOS FET) cũng đ ợc dùng r t ph bi n để xơy d ng m ch đi n các lo i c ng logic. Đặc điểm chung vƠ n i b t c a họ nƠy lƠ:  M ch đi n chỉ bao gồm các MOS FET mƠ không có đi n tr  D i đi n thế công tác r ng, có th t +3 đến +15 ↑  Đ tr th i gian l n, nh ng công su t tiêu thụ r t bé  Tuỳ theo lo i MOS FET đ ợc s d ng, họ nƠy đ ợc chia ra các tiểu họ:     PMOS NMOS CMOS Cổng truyền d n www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 62 ĐI N T BÀI GI NG MÔN : 3.4.5.1. PMOS S  M ch đi n c a họ c ng nƠy chỉ dùng MOSFET có kênh d n lo i P. Công ngh PMOS cho phép s n xu t các m ch tích hợp v i m t đ cao nh t. VDD VDD S A S A G G Q1 f=A D S G Q3 D S B Q4 G Q2 D G VSS f= A+B D S Q5 D VSS a) Cổng NOT www.ptit.edu.vn V1.0 B b) Cổng NOR GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 63 BÀI GI NG MÔN : ĐI N T 3.4.5.2. NMOS S  M ch đi n c a họ c ng nƠy chỉ dùng MOSFET có kênh d n lo i N. VDD VDD Q1 1 Q1 f f Q2 Q2 A A Q3 B Q3 B VSS a) Cổng NAND www.ptit.edu.vn V1.0 B VSS b) Cổng NOR GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 64 BÀI GI NG MÔN : ĐI N T S 3.4.5.3. CMOS  CMOS ậ Complementary MOS. M ch đi n c a họ c ng logic này s d ng c hai lo i MOS FET kênh d n P và kênh d n N. B i v y có hi n t ợng bù dòng đi n trong m ch. Chính vì th mà công su t tiêu th c a họ c ng, đặc bi t trong tr ng thái tĩnh là V r t bé. DD VDD S G G Q1 D D A Q1 G Q2 D D f D f Q2 G S S Q3 G A S S Q4 B a) Cổng NOT www.ptit.edu.vn V1.0 B b) Cổng NAND GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 65 BÀI GI NG MÔN : 3.4.5.5. C ng truy n d n ĐI N T S  D a trên công ngh CMOS, ng i ta s n xu t lo i c ng có thể cho qua c tín hi u s l n tín hi u t ng t . B i v y c ng đ ợc gọi lƠ c ng truy n d n G Q1 S D Vào/Ra Vào/Ra Ra/Vào Ra/Vào +5V S D Q2 Điều khi n G a) M ch đi n www.ptit.edu.vn V1.0 B b) Ký hi u GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 66 BÀI GI NG MÔN : 3.4.6. Họ ECL ĐI N T S  ECL (Emitter Coupled Logic) lƠ họ c ng logic có c c E c a m t s bán d n n i chung v i nhau. Họ m ch nƠy cũng s d ng công ngh TTL, nh ng c u trúc m ch có nh ng điểm khác hẳn v i họ TTL. +Vcc D Lối vào R5 C R8 R6 Q8 B Q7 A Q4 Q1 R1 Q2 R2 Q3 R3 Q5 RE Lối ra OR Lối ra NOR Q6 - 0,9 V D1 -1,29 V R4 Ra D2 R7 - 1,75 V R9 - 1,4 V - 1,2 V Vào -Vcc = - 5V a) M ch đi n nguyên lý www.ptit.edu.vn V1.0 B b) Đồ th mức vào/ra GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 67 BÀI GI NG MÔN : 3.5. Giao ti p gi a các c ng logic c b n ĐI N T  Giao ti p gi a TTL vƠ CMOS  Giao ti p gi a CMOS vƠ TTL www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 68 S ĐI N T S 3.5.1. Đặc điểm c a họ TTL vƠ CMOS khi s d ng vƠ ghép n i. BÀI GI NG MÔN :  Đ i v i c ng TTL  + T t c các đ u vƠo c a c ng TTL để h s ho t đ ng nh m c logic 1 (do diode base-emitter c a transistor c a m ch vƠo không đ ợc phơn c c thu n). Tr ng hợp nƠy gọi lƠ th n i đ u vƠo.  + Khi không s d ng m t đ u vƠo nƠo đó c a c ng thì ta ph i n i nó v i đ t hoặc d ng ngu n sao cho ch c năng c a c ng không bị thay đ i.  + Không đ ợc n i tr c ti p hai đ u ra c a hai c ng TTL v i nhau. Trong tr ng hợp nƠy ph i s d ng c ng collector để h -OC. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 69 ĐI N T S Headline (Times 3.5.1. Đặc điểm c a họNew TTL vƠRoman CMOS khiBlack s d ng 36pt.) vƠ ghép n i. BÀI GI NG MÔN : • Đ i v i c ng CMOS. + Không đ ợc phép th n i các đ u vào không đ ợc s d ng đ n mà ph i n i chúng v i đ t hoặc d ng ngu n hoặc đ u khác sao cho ch c năng c a c ng không bị thay đ i. + Đi n tr đ u vào cao gây nên hi n t ợng tích t h t tĩnh đi n, d n đ n phát sinh đi n th có thể đ l n để đánh th ng l p đi n môi m ng gi a c c G và kênh d n. Do v y ng i ta ch t o l i diode đi n tr đ u vào nhằm b o v transistor. + Đi n tr đ u ra th đ i nhanh. www.ptit.edu.vn V1.0 ng nh nên t c đ chuyển m ch t GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 70 ng BÀI GI NG MÔN : ĐI N T S Headline (Times Roman Black 36pt.) 3.5.2.1 Giao ti p gi a New họ TTL+CMOS Cùng đi n áp cung c p +5V. Trong tr ng hợp này đi n áp ra c a TTL nh h n so v i đi n áp vào c a CMOS. Do v y ta ph i dùng m ch b sung để t ng hợp hai lo i IC khác nhau. Gi i pháp tiêu chu n là dùng đi n tr kéo lên (đi n tr pull-up) gi a đi u khiển TTL và t i CMOS nh hình 3-56. + 5V Rp Điều khi n TTL T i CMOS Điều khiển TTL và tải CMOS www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 71 BÀI GI NG MÔN : ĐI N T S Headline (Times Roman Black 36pt.) 3.5.2.1 Giao ti p gi a New họ TTL+CMOS Khác đi n áp cung c p +5V. Đi n áp cung c p dùng cho IC CMOS thích hợp nh t là t +9V đ n +12V. M t cách dùng để đi n áp cung c p l n là s d ng IC TTL h m ch Collector nh hình sau, vì t ng ra c a TTL h c c C chỉ g m transistor nh n dòng v i c c C th n i. Hình 3-57. Điều khiển TTL hở mạch Collector và tải CMOS www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 72 BÀI GI NG MÔN : 3.5.2.2 Giao ti p gi a họ CMOS + TTL ĐI N T S  Cùng đi n áp cung c p +5V.  Gi i pháp tiêu chu n là dùng đi n tr kéo lên (đi n tr pullup) gi a đi u khiển TTL và t i CMOS nh hình 3-56.  Khác đi n áp cung c p.  Đi n áp cung c p dùng cho IC CMOS thích hợp nh t là t +9V đ n +12V. M t cách dùng để đi n áp cung c p l n là s d ng IC TTL h m ch Collector nh hình 3-57, vì t ng ra c a TTL h c c C chỉ g m transistor nh n dòng v i c c C th n i. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 BÀI GI NG MÔN : Ch ng 1: H đ m Ch ng 2: Đ i s Boole vƠ các ph Ch ng 3: C ng logic TTL vƠ CMOS  Ch V1.0 ng pháp biểu di n hƠm ng 4: M ch logic t hợp Ch ng 5: M ch logic tu n t Ch ng 6: M ch phát xung vƠ t o d ng xung Ch ng 7: B nh bán d n. Ch ng 8: c u ki n logic kh trình (PLD) Ch ng 9: Ngôn ng mô t ph n c ng (VHDL) www.ptit.edu.vn B ĐI N T GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S BÀI GI NG MÔN : ĐI N T S Headline (Times New Roman Black 36pt.) CH NG 4 M ch logic t hợp www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 75 N i dung  Phơn tích m ch logic t hợp BÀI GI NG MÔN : ĐI N T  Thi t k m ch logic t hợp  M ch mƣ hóa vƠ gi i mƣ  B hợp kênh vƠ phơn kênh  M ch c ng  M ch so sánh  M ch t o vƠ kiểm tra chẵn lẻ  Đ n vị s học vƠ logic (ALU)  Hazzards www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 76 S BÀI GI NG MÔN : ĐI N T S Khái ni m chung  M ch logic t hợp có thể có n l i vào và m l i ra. M i l i ra là m t hàm c a các bi n vào. Quan h vào, ra này đ ợc thể hi n bằng h ph ng trình t ng quát sau: Y0 = f0(x0, x1, ầ, xn-1); x0 Y1 = f1(x0, x1, ầ, xn-1); Y0 x1 Y1 ầ M ch logic tổ hợp Ym-1 = fm-1(x0, x1, ầ, xn-1). xn-1 Ym-1  Đặc điểm n i b t c a m ch logic t hợp lƠ hƠm ra chỉ ph thu c các bi n vƠo mƠ không ph thu c vƠo tr ng thái c a m ch. Do đó, tr ng thái ra chỉ t n t i trong th i gian có tác đ ng vƠo. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 77 4.1. Phơn tích m ch logic t hợp BÀI GI NG MÔN : ĐI N T S  M ch t hợp có thể bao g m hai hay nhi u t ng, m c đ ph c t p c a c a m ch cũng r t khác nhau.  Các b c th c hi n:  N u m ch đ n gi n thì ta ti n hƠnh l p b ng tr ng thái, vi t biểu th c, rút gọn, t i u (n u c n) vƠ cu i cùng v l i m ch đi n.  N u m ch ph c t p thì ta ti n hƠnh phơn đo n m ch để vi t biểu th c, sau đó rút gọn, t i u (n u c n) vƠ cu i cùng v l i m ch đi n. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 78 4.2. Thi t k m ch logic t hợp Các b BÀI GI NG MÔN : ĐI N T S c thi t k : 1. Phơn tích bƠi toán đƣ cho để g n hƠm vƠ bi n, xác l p m i quan h logic gi a hƠm vƠ các bi n đó; 2. L p b ng tr ng thái t ng ng; 3. T b ng tr ng thái có thể vi t tr c ti p biểu th c đ u ra hoặc thi t l p b ng Cac nô t ng ng; 4. Dùng ph ng pháp thích hợp để rút gọn, đ a hƠm v d ng t i gi n hoặc t i u theo mong mu n; 5. V m ch đi n thể hi n. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 79 4.3. M ch mƣ hóa BÀI GI NG MÔN : ĐI N T  M ch mƣ hoá  M ch mƣ hoá t th p phơn sang BCD 8421  M ch mƣ hoá u tiên www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 80 S ĐI N T 4.3.1. M ch mƣ hoá t th p phơn sang BCD 8421 BÀI GI NG MÔN : S  S đ kh i t ng quát c a m ch mƣ hoá S đ kh i c a m ch mƣ hóa Vào Th p phân 1 2 3 4 M ch 5 mã hoá 6 7 8 9 A 8 B 4 C 2 D 1 Ra BCD 8421 B ng tr ng thái VƠo th p phân 1  T b ng tr ng thái ta vi t đ ợc các hƠm ra: A = 8 +9 B=4+5+6+7 C=2+3+6+7 D=1+3+5+7+9 www.ptit.edu.vn V1.0 B =  (8,9) =  ( 4,5,6,7) =  (2,3,6,7) =  (1,3,5,7,9) GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 4 Ra BCD 8 4 2 1 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 5 0 1 0 1 6 0 1 1 0 7 0 1 1 1 8 1 0 0 0 9 1 0 0 1 2 3 81 ĐI N T 4.3.1. M ch mƣ hoá t th p phơn sang BCD 8421 (ti p) S BÀI GI NG MÔN :  Căn c h ph ng trình, ta xơy d ng đ ợc m ch đi n c a b mƣ hoá. Hoặc dùng ma tr n diode (c ng OR) để xơy d ng  Hoặc có thể đ ợc vi t l i nh sau (dùng định lỦ DeMorgan) vƠ dùng ma tr n diode (c ng AND) để xơy d ng m ch: +5V R4 R1 2 A  89  8 . 9 C  2 3 6 7  2 . 3 . 6 . 7 5 D  1 3  5  7  9  1 . 3 . 5 . 7 . 9 R2 1 3 B  45 6 7  4 . 5 . 6 . 7 R3 4 6 7 8 9 A B C D Mạch điện của bộ mã hoá dùng diode www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 82 4.3.2. M ch mƣ hóa u tiên  Trong b mƣ hoá v a xét trên, tín hi u vƠo t n t i đ c l p, (không có tr ng hợp có 2 t hợp tr lên đ ng th i tác đ ng).  Xét nguyên t c ho t đ ng vƠ quá trình thi t k c a b mƣ hoá u tiên 9 l i vƠo, 4 l i ra. BÀI GI NG MÔN : VƠo th p phơn ĐI N T S Ra BCD 1 2 3 4 5 6 7 8 9 8 4 2 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 X 1 0 0 0 0 0 0 0 0 0 1 0 X X 1 0 0 0 0 0 0 0 0 1 1 X X X 1 0 0 0 0 0 0 1 0 0 X X X X 1 0 0 0 0 0 1 0 1 X X X X X 1 0 0 0 0 1 1 0 X X X X X X 1 0 0 0 1 1 1 X X X X X X X 1 0 1 0 0 0 X X X X X X X X 1 1 0 0 1 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 83 4.3.2. M ch mƣ hóa u tiên (ti BÀI GI NG MÔN : p) ĐI N T S  D s l y logic 1 ng v i đ u vào là 1, 3, 5, 7, 9. Tuy nhiên, l i vào 1 chỉ hi u l c khi t t c các l i vào cao h n đ u bằng 0; l i vào 3 chỉ có hi u l c khi 4, 6, 8 đ u bằng 0 và t ng t đ i v i 5, 7, 9, nghĩa là: 1=ắ1” vƠ 2,4,6,8 bằng ắ0” 3=ắ1” vƠ 4,6,8 bằng ắ0” D=ắ1” n u 5=ắ1” vƠ 6,8 bằng ắ0” 7=ắ1” vƠ 8 bằng ắ0” 9=ắ1”  D = 1.2.4.6.8 + 3.4.6.8 + 5.6.8 + 7.8 + 9 C = 2.4.5.8.9 + 3.4.5.8.9 + 6.8.9 + 7.8.9 B = 4.8.9 + 5.8.9 + 6.8.9 + 7.8.9 A = 8+9 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 84 4.4. M ch gi i mƣ BÀI GI NG MÔN :  1. D ng c 7 đo n  Để hiển thị ch s c a m t h đ m phân b t kỳ, ta có thể dùng d ng c 7 đo n. C u t o c a nó nh chỉ hình 4-15.  Các đo n đ ợc hình thành bằng nhi u lo i v t li u khác nhau, nh ng ph i có kh năng hiển thị đ ợc trong các đi u ki n ánh sáng khác nhau và t c đ chuyển m ch ph i đ l n. Trong kĩ thu t s , các đo n th ng đ ợc dùng là LED hoặc tinh thể l ng (LCD).  LED có hai lo i chính: LED Anôt chung và Kt t chung. Do đó, logic c a tín hi u đi u khiển hai lo i này là ng ợc nhau. www.ptit.edu.vn V1.0 B ĐI N T S a b f g c e d C u t o dụng cụ 7 đo n sáng GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 85 BÀI GI NG MÔN : 4.4.2 M ch gi i mƣ 7 đo n M ch 1 gi i mƣ 2 7 đo n 4 8 D C B A a b c d e f g b) S đồ khối của m ch gi i mƣ 7 đo n sáng  Do đó, ta vi t đ ợc hƠm ra:  a =  (0,2,3,5,6,7,8,9).  T ng tự, ta có:  b =  (0,1,2,3,4,7,8,9),  c =  (0,1,3,4,5,6,7,8,9),  d =  (0,2,3,5,6,8,9),  e =  (0,2,6,8),  f =  (0,4,5,6,8,9),  g =  (2,3,4,5,6,8,9). A B C D 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 0 0 1 1 0 0 0 1 0 1 0 1 0 1 0 1 a b c ĐI N T d 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 0 0 1 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 Bảng trạng thái bộ giải mã e f g Hi n th 0 1 0 1 1 1 0 1 0 1 0 1 1 1 0 0 0 1 0 0 1 1 0 0 0 0 0 1 0 0 0 1 2 3 4 5 6 7 8 9  IC 7447, 74247 (Anốt chung), 7448 (K chung ), 4511 (CMOS) lƠ các IC gi i mƣ t NBCD sang th p phơn theo ph ng pháp hi n th 7 đo n. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S 86 4.4.3. M ch gi i mƣ nhị phơn BÀI GI NG MÔN :  B gi i mƣ nhị phơn còn có tên lƠ b gi i mã "1 t n", b gi i mƣ địa chỉ hoặc b chọn địa chỉ nhị phơn. Ch c năng c a nó lƠ l a chọn duy nh t m t l i ra (l y giá trị 1 hoặc 0), khi tác đ ng t i đ u vƠo m t s nhị phơn. A0 ĐI N T D0 B gi i mƣ nh phơn A1 S D1 An-1 D2n- 1 S đồ khối của b gi i mƣ nh phơn E 0 1 1 1 1 A1 x 0 0 1 1 www.ptit.edu.vn V1.0 A0 x 0 1 0 1 B D0 D1 0 0 1 0 0 1 0 0 0 0 Bảng trạng thái D2 0 0 0 1 0 D3 0 0 0 0 1 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 D0  E.A1.A 0 D1  E.A1.A 0 D 2  E.A1.A 0 D3  E.A1.A 0 87        4.5. B hợp kênh vƠ phơn kênh BÀI GI NG MÔN : ĐI N T S  B hợp kênh (MUX-Multiplexer)  Định nghĩa: B hợp kênh lƠ m ch có 2n lối vƠo dữ li u, n lối vƠo điều khi n, 1 lối vƠo ch n m ch vƠ 1 lối ra.  Tuỳ theo giá tr của n lối vƠo điều khi n mƠ lối ra sẽ bằng m t trong những giá tr lối vƠo (Xj). Nếu giá tr th p phơn của n lối vƠo điều khi n bằng j thì Y = Xj.  B phơn kênh (DEMUX-DeMultiplexer)  Định nghĩa: B phơn kênh lƠ m ch có 1 lối vƠo dữ li u, n lối vƠo điều khi n, 1 lối vƠo ch n m ch vƠ 2n lối ra.  Tuỳ theo giá tr của n lối vƠo điều khi n mƠ lối ra thứ i (Yi) sẽ bằng giá tr của lối vƠo. Cụ th nếu g i n lối vƠo điều khi n lƠ An-1An-2ầA0 thì Yi = X khi (An-1An-2ầA0)2 = (i)10. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 88 ĐI N T BÀI GI NG MÔN : 4.5.1. B hợp kênh (MUX-Multiplexer)  Ph S ng trình tín hi u ra c a MUX 2n  1: Y  X0 (An 1 An 2 ...Ai ...A0 )  X1 (A n 1 A n 2 ...Ai ...A0 )  ...  X 2n 1 (A n 1A n 2 ...Ai ...A1A0 ) En X0 X1 MUX 2n  1 Xj Y- Lối ra X0 X1 A0 A1 A2 Y Vào điều khi n Vào li u Xj X2n-1 74151 dữ X2n-1 (b). MUX lƠ m t chuy n m ch đi n t An-1 An-2 A0 E1 n lối vƠo điều khi n (a) S đồ khối B hợp kênh MUX 2n  1 Vào cho phép E2  Thực ch t, MUX lƠ chuy n m ch đi n t dùng các tín hi u điều khi n (An-1An-2ầA0) đ điều khi n sự nối m ch của lối ra v i 1 trong số 2n lối vƠo.  MUX đ ợc dùng nh 1 ph n t v n năng đ xơy dựng những m ch tổ hợp khác.  IC 74151 lƠ b MUX 8 lối vƠo dữ li u - 1 lối ra. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 89 G BÀI GI NG MÔN : ĐI N T S Headline (Times New Roman Black 36pt.) 4.5.1. B hợp kênh (MUX-Multiplexer) B A Y X X H L D0 L L L D1 L H L D2 L L H L D3 H H Bảng chức năng của bộ hợp kênh 4:1. G D0 D1 Y D2 D3 B A Hình 4-8. Sơ đồ logic bộ MUX 4:1 www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 90 BÀI GI NG MÔN : 4.5.2. B phơn kênh (DEMUX-DeMultiplexer)  Ph ng trình tín hi u ra c a DEMUX 1  2n : ĐI N T Y0  X.A n 1 A n 2 ...Ai ...A 0 Y1  X.A n 1 A n 2 ...Ai ...A1A 0 .............. En Ch n m ch MUX 2n  1 Lối vƠo X Y0 Y1 Yj Y0 Y1 X Y2n 1  X.A n 1.A n  2 ...Ai ...A 0 Yj Lối vƠo Y2n-1 Y2n-1 (b). DEMUX lƠ m t chuy n m ch đi n t An-1 An-2 A0 n lối vƠo điều khi n (a) S đồ khối Hình 4-19. B phơn kênh DEMUX 1  2n  B phơn kênh còn đ ợc g i lƠ b gi i mƣ 1 trong 2n. T i m t th i đi m chỉ có 1 trong số 2n lối ra mức tích cực. A0 A1 A2 74138 Vào điều khi n ↑Ơo dữ li u Vào cho phép  IC 74138 lƠ b DEMUX 1 lối vƠo dữ li u - 8 lối ra. www.ptit.edu.vn V1.0 B S GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 91 BÀI GI NG MÔN : ĐI N T S Headline (Times New Roman Black 36pt.) 4.5.2. B phơn kênh (DEMUX-DeMultiplexer) B A Y0 Y1 Y2 Y3 0 0 D 0 0 0 0 1 0 D 0 0 1 0 0 0 D 0 1 1 0 0 0 D Bảng 4-7. Bảng trạng thái của bộ phân kênh 1 vào 4 ra. www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 92 BÀI GI NG MÔN : 4.6. M ch c ng. 4.6.1. M ch bán t ng Ai Bi Si Ci 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 Bảng 4-8. Bảng trạng thái của mạch HA Si  Ai  Bi a) ĐI N T Ai Bi Si HA Ai Bi Ci Si b) Ci  Ai .Bi Ci a) Sơ đồ khối của HA, b) Sơ đồ logic www.ptit.edu.vn V1.0 B S GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 BÀI GI NG MÔN : 4.6. M ch c ng. 4.6.2. M ch toƠn t ng B ng tr ng thái Ci-1 0 0 0 0 1 1 1 1 ai 0 0 1 1 0 0 1 1 bi 0 1 0 1 0 1 0 1 Si 0 1 1 0 1 0 0 1 Si  ai  bi  Ci 1 Ci  a i bi Ci 1  a i bi Ci 1  a i bi Ci 1 Ci  a i bi   a i  bi  Ci 1 www.ptit.edu.vn V1.0 B Ci 0 0 0 1 0 1 1 1 ĐI N T Ci-1 ai bi S Si Ci Gi Pi a) M ch đi n Si Ci-1 TT Pi Ci Gi a i bi b) Ký hi u GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 94 BÀI GI NG MÔN : 4.6.3. M ch c ng nhị phơn song song ĐI N T S  Ta có th ghép nhiều b c ng hai số nh m t bit l i v i nhau đ thực hi n phép c ng hai số nh phân nhiều bit.  S đồ khối của b c ng đ ợc trình bày song song Si CRi S2 B toàn tổng CVi bi ai CR2 d i, đ ợc g i là b c ng S1 S0 B B B toàn toàn toàn tổng CV2 CR1 tổng CV1 CR0 tổng CV0 b2 a2 b1 a1 S đồ khối của b c ng nh phơn song song b0 a 0  M t trong những b c ng thông dụng hi n nay là 7483. IC này đ ợc s n xu t theo hai lo i: 7483 và 7483A v i logic vào, ra khác nhau. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 95 4.7. M ch so sánh BÀI GI NG MÔN : ĐI N T  Trong các h th ng s , đặc bi t là trong máy tính, th th c hi n vi c so sánh hai s . S ng  Hai s c n so sánh có thể là các s nhị phân, có thể là các ký t đƣ mã hoá nhị phân.  M ch so sánh có thể ho t đ ng theo kiểu n i ti p hoặc theo kiểu song song. Trong ph n này, trình bày b so sánh theo kiểu song song.  B so sánh bằng nhau B so sánh bằng nhau 1 bit B so sánh bằng nhau 4 bit  B so sánh B so sánh 1 bit B so sánh 4 bit (So sánh l n h n) www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 96 4.7.1. B so sánh bằng nhau BÀI GI NG MÔN :  B so sánh bằng nhau 1 bit  Xét 2 bit ai và bi, g i gi lƠ kết qu so sánh.  Ta có: gi  ai .bi  ai .bi  ai  bi S đ logic c a hƠm ra b so sánh bằng 1 bit ai bi gi  B so sánh bằng nhau 4 bit ĐI N T B ng tr ng thái c a b so sánh bằng 1 bit ai bi gi 0 0 1 0 1 0 1 0 0 1 1 1  So sánh hai số nh phơn 4 bit A = a3a2a1a0 v i B = b3b2b1b0. Có A = B  a3 = b3, a2 = b2, a1 = b1, a0 = b0.  Bi u thức đ u ra t ng ứng lƠ: G = g3g2g1g0 v i: g3  a3  b3 , g2  a 2  b2 , g1  a1  b1 , g0  a0  b0 www.ptit.edu.vn V1.0 B S GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 97 BÀI GI NG MÔN : 4.7.2. B so sánh 1 bit bi 0 1 0 1 f< 0 1 0 0 f= 1 0 0 1  Biểu th c đ u ra: f> 0 0 1 0 ai bi f< f= f> f   a i . bi f   a i  bi f   a i . bi www.ptit.edu.vn V1.0 B S M ch đi n c a b so sánh 1 bit B ng tr ng thái c a m ch so sánh ai 0 0 1 1 ĐI N T GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 98 BÀI GI NG MÔN : 4.7.3. B so sánh 4 bit (So sánh l n h n) ĐI N T S  So sánh hai s nhị phơn 4 bit A = a3a2a1a0 v i B = b3b2b1b0. Có A > B khi:  hoặc a3 > b3,  hoặc a3 = b3, và a2 > b2,  hoặc a3 = b3, và a2 = b2, và a1 > b1,  hoặc a3 = b3, và a2 = b2, và a1 = b1, và a0 > b0.  T đó ta có biểu th c hƠm ra lƠ: a3 f  a 3 .b3  a 3  b3 .a 2 .b2  a 3  b3 .a 2  b2 .a1.b1  a 3  b3 .a 2  b2 .a1  b1.a 0 .b0 b3 a2 b2 f> a1 b1 a0 b0 Mạch điện của bộ so sánh lớn hơn 4 bit www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 99 4.8. M ch t o vƠ kiểm tra chẵn lẻ BÀI GI NG MÔN : ĐI N T S  Có nhi u ph ng pháp mƣ hoá d li u để phát hi n l i vƠ s a l i khi truy n d li u t n i nƠy sang n i khác. Ph ng pháp đ n gi n nh t lƠ thêm m t bit vƠo d li u đ ợc truy n đi sao cho s ch s 1 trong d li u luôn lƠ chẵn hoặc lẻ. Bit thêm vƠo đó đ ợc gọi lƠ bit chẵn/lẻ.  Để th c hi n đ ợc vi c truy n d li u theo kiểu đ a thêm bit chẵn, lẻ vƠo d li u chúng ta ph i:  Xơy dựng s đồ t o đ ợc bit chẵn, lẻ đ thêm vƠo n bit dữ li u.  Xơy dựng s đồ ki m tra h xem đó lƠ h chẵn hay lẻ v i (n + 1) bit vào (n bit dữ li u, 1 bit chẵn/lẻ). www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 100 đ u BÀI GI NG MÔN : 4.8.1. M ch t o bit chẵn/lẻ T o bit chẵn/lẻ n bit dữ li u Xe ng hợp 3 bit d li u d1, d2, d3  Gọi Xe, X0 lƠ 2 bit chẵn, lẻ thêm vƠo d li u.  T b ng tr ng thái ta th y Xo  Xe hay Xe  Xo  VƠ biểu th c c a X0 và Xe là Xe  d1  d 2  d 3 Xo  Xe  d1  d 2  d 3 www.ptit.edu.vn V1.0 B S Xo S đồ khối tổng quát của m ch t o bit chẵn/lẻ  Xét tr ĐI N T B ng tr ng thái c a m ch t o bit chẵn lẻ Vào Ra d1 0 0 0 0 1 1 1 1 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 d2 0 0 1 1 0 0 1 1 d3 0 1 0 1 0 1 0 1 Xe 0 1 1 0 1 0 0 1 101 Xo 1 0 0 1 0 1 1 0 BÀI GI NG MÔN : 4.8.2. M ch kiểm tra chẵn/lẻ ĐI N T S Vào n bit dữ li u Ki m tra h chẵn/lẻ Fo Fe Bit chẵn lẻ (Xo, Xe) Sơ đồ khối của mạch kiểm tra chẵn/lẻ  T b ng tr ng thái c a m ch kiểm tra tính chẵn/lẻ ta th y:  Fe = 1 nếu h lƠ chẵn (Fe chỉ ra tính chẵn của h ).  Fo = 1 nếu h lƠ lẻ (Fo chỉ ra tính lẻ của h ).  Hai hƠm kiểm tra chẵn/lẻ luôn lƠ ph định c a nhau. Mặt khác do tính ch t c a hƠm c ng XOR, ta có:  Fo = d1  d2  d3  X  Fe = Fo www.ptit.edu.vn V1.0 B Ra d1 0 0 0 0 0 0 0 0 d2 0 0 0 0 1 1 1 1 d3 0 0 1 1 0 0 1 1 X 0 1 0 1 0 1 0 1 Fo 0 1 1 0 1 0 0 1 Fe 1 0 0 1 0 1 1 0 1 0 0 0 1 0 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 0 1 1 0 0 0 1 1 1 0 1 1 0 1 1 1 0 1 0 1 1 1 1 0 1 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 102 BÀI GI NG MÔN : ĐI N T 74LS180 8 9 10 11 12 13 1 I0 I1 I2 4 I3 I4 I5 I6 2 I7 OI 54/74180 3 EI E 5 O 6 VCC = 14 GND = 7 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 103 S 4.9. Đ n vị s học vƠ logic (ALU) 4 BÀI GI NG MÔN : S 4 Thanh ghi A Thanh ghi B 4 4 Cin M (Mode) F0 Ch n chức năng F1 (Phép tính) ALU 4 ĐI N T 4 Ghi tr ng thái Sơ đồ khối của ALU 4 bit  Đ n vị s học vƠ logic (Arithmetic ậ Logic Unit) lƠ m t thƠnh ph n c b n không thể thi u đ ợc trong các máy tính. Nó bao g m 2 kh i chính lƠ kh i logic vƠ kh i s học vƠ m t kh i ghép kênh.  Khối logic: Thực hi n các phép tính logic nh lƠ AND, OR, NOT, XOR.  Khối số h c: Thực hi n các phép tính số h c nh lƠ: c ng, tr , tăng 1, gi m 1. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 104 4.10. Hazzards/Glitch BÀI GI NG MÔN : ĐI N T S  Hazard còn đ ợc gọi lƠ s "sai nh m", ho t đ ng lúc đ ợc lúc không c a m ch logic.  S "sai nh m" nƠy có thể x y ra trong m t m ch đi n hoƠn toƠn không bị h ng linh ki n lƠm cho m ch ho t đ ng không có s tin c y.  Hi n t ợng c a Hazard trong m ch t hợp có thể gặp lƠ: - Hazard chỉ xu t hi n m t l n vƠ không bao gi gặp l i nữa. - Hazard có th xu t hi n nhiều l n (theo m t chu kỳ nƠo đó hoặc không theo m t chu kỳ nƠo). - Hazard có th do chính chức năng của m ch đi n gơy ra. Đơy lƠ tr ng hợp khó gi i quyết nh t khi thiết kế. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 105 BÀI GI NG MÔN : ĐI N T 4.10. Hazzards Ph ng pháp kh c ph c Hazard 1 x1 x2 1 x3 www.ptit.edu.vn V1.0 2 3 2 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 106 S N i dung Ch ng 1: H đ m BÀI GI NG MÔN : Ch ng 2: Đ i s Boole vƠ các ph Ch ng 3: C ng logic TTL vƠ CMOS Ch ng 4: M ch logic t hợp • Ch ng 5: M ch logic tu n t V1.0 ng pháp biểu di n hƠm Ch ng 6: M ch phát xung vƠ t o d ng xung Ch ng 7: B nh bán d n. Ch ng 8: c u ki n logic kh trình (PLD) Ch ng 9: Ngôn ng mô t ph n c ng (VHDL) www.ptit.edu.vn B ĐI N T GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 107 S BÀI GI NG MÔN : ĐI N T S Headline (Times New Roman Black 36pt.) CH NG 5. M CH LOGIC TU N T www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 108 BÀI GI NG MÔN : N i dung ĐI N T  Khái ni m chung vƠ mô hình toán học  Ph n t nh c a m ch tu n t  Ph ng pháp mô t m ch tu n t  Phơn tích m ch tu n t  Thi t k m ch tu n t  M ch tu n t đ ng b  M ch tu n t không đ ng b  Hi n t ợng chu kỳ vƠ ch y đua trong m ch không đ ng b  M t s m ch tu n t thông d ng www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 109 S BÀI GI NG MÔN : 5.1. Khái ni m chung vƠ mô hình toán học ĐI N T S  Khái ni m chung  M ch logic tu n tự hay còn g i là m ch dãy - Sequential Circuit.  Ho t đ ng của h này có tính ch t kế tiếp nhau, tức là tr ng thái ho t đ ng của m ch đi n không những phụ thu c trực tiếp lối vào mà còn phụ thu c vào tr ng thái bên trong tr c đó của chính nó. Nói cách khác các h thống này làm vi c theo nguyên tắc có nh . z x 1 1 x2 z2  Mô hình toán học M ch t hợp zj xi  Z = f(Q, X) Q1 Ql W1 X - t p tín hi u vào. Wk M ch nh Q - t p tr ng thái trong tr c đó của m ch. W - hàm kích. S đồ khối của m ch tu n tự. Z - các hàm ra  Bi u di n khác: Z = f (Q(n), X); Q (n +1) = f (Q(n), X) Q(n +1): là tr ng thái tiếp theo của m ch. Q(n): là tr ng thái bên trong tr đó. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 110 c BÀI GI NG MÔN : 5.2. Trig ậ Ph n t nh c a m ch tu n t ĐI N T S  Định nghĩa: Trig lƠ ph n t có kh năng l u tr (nh ) m t trong hai tr ng PR thái 0 và 1.  C u trúc Các  Trig có t 1 đến m t vài lối điều khi n, có lối vƠo TRIG hai lối ra luôn luôn ng ợc nhau là Q và Q . điều Tuỳ t ng lo i trig có th có thêm các lối khi n vào l p (PRESET) và lối vào xoá (CLEAR). Clock Ngoài ra, trig còn có lối vào đồng b CLR (CLOCK). Hình bên là s đồ khối tổng quát của trig .  Phơn lo i:  Theo chức năng lƠm vi c của của các lối vƠo điều khi n: Trig 1 lối vƠo nh trig D, T; Trig 2 lối vƠo nh trig RS, trig JK.  Theo ph ng thức ho t đ ng : Trig không đồng b Trig đồng b , có hai lo i: trig th ng vƠ trig chính-phụ (Master-Slave). www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 111 Q Q ĐI N T BÀI GI NG MÔN : S 5.2.1. Trig RS (1)  Trig RS lƠ lo i có hai l i vƠo đi u khiển S, R. Chơn S gọi lƠ l i vƠo "l p" (SET) vƠ R đ ợc gọi lƠ l i vƠo "xoá" (RESET). R S đ kh i: S Q S Q C R Q R S Q Q S S S Q Q R Qk Q 0 1 X www.ptit.edu.vn V1.0 Mod ho t đ ng Nh Xóa L p C m B S đồ nguyên lý của trig RS vƠ RS đồng b Q R B ng TT c a trig RS đ ng b c ng NAND B ng TT c a trig RS R 0 1 0 1 Q R C S 0 0 1 1 Q C 0 1 1 1 1 S X 0 0 1 1 R X 0 1 0 1 Qk Q Q 0 1 X GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Mod ho t đ ng Nh Nh Xóa L p C m 112 BÀI GI NG MÔN : 5.2.1. Trig RS (2) ĐI N T S  Tri g RS không đ ng b B ng tr ng thái Q 0 0 0 0 1 1 1 1 Ph S 0 1 0 1 0 1 0 1 Qk 0 1 0 X 1 1 0 X RS R 00 01 11 10 0 0 1 X 0 1 1 1 X 0 Q B Q x0/ Q S 01/ Đ hình tr ng thái   RS = 0(dieu kien de tranh to hop cam)  ng trình đặc tr ng www.ptit.edu.vn V1.0 R 0 0 1 1 0 0 1 1 B ng Các nô 0 1 QK = S+ R .Q GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 10/ 113 0x/ BÀI GI NG MÔN : 5.2.2. Trig D ĐI N T S  Trig D lƠ lo i trig có m t l i vƠo đi u khiển D.  Biểu th c: Qk = D, m i khi xu t hi n xung nhịp C.  S đ kh i: D D Q D Q Q C C Q B ng tr ng thái Q 0 0 1 1  D 0 1 0 1 Q Q Qk 0 1 0 1 Đ hình tr ng thái 1/ CP 0/ 0 1 www.ptit.edu.vn V1.0 D n-1 0/ ng d ng: th 1/ Q ng dùng lƠm b ghi dịch d li u hay b ch t d li u. B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 114 BÀI GI NG MÔN : 5.2.3. Trig T ĐI N T S  Trig T lƠ lo i trig có m t l i vƠo đi u khiển T. M i khi có xung t i l i vƠo T thì l i ra Q s thay đ i tr ng thái.  Biểu th c:  S đ kh i: T QK = TQ+ TQ = T Q T T TQ k Q =TQ+TQ Q T Q C Q Q Q B ng tr ng thái T Q Qk 0 0 0 0 1 1 1 0 1 1 1 0 Đ hình tr ng thái 1/ B ng tr ng thái rút gọn T 0 1 Qk Q Q_ TQ Q 0/ 0 1 0/ 1/ www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 115 5.2.4. Trig JK (1) BÀI GI NG MÔN : ĐI N T S  Trig JK lƠ lo i trig có hai l i vƠo đi u khiển J, K.  u điểm h n trig RS lƠ không còn t n t i t hợp c m bằng Q các đ ng h i ti p t Q v chơn R vƠ t v S.  Trig JK còn có thêm đ u vƠo đ ng b C. Trig có thể l p hay xoá trong kho ng th i gian ng v i s n ơm hoặc s n d ng c a xung đ ng b C. Ta nói, trig JK thu c lo i đồng bộ. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 116 BÀI GI NG MÔN : 5.2.4. Trig JK (2) B ng TT đ y đ J 0 0 0 0 1 1 1 1 K 0 0 1 1 0 0 1 1 Q 0 1 0 1 0 1 0 1 U5 J B ng TT rút gọn Qk 0 1 0 0 1 1 1 0 J 0 0 1 1 K 0 1 0 1 U3 NAND_2 NAND_2 U4 U2 Q_ K NAND_2 NAND_2 Ph ng trình đặc tr ng B ng TT c a trig JK đ ng b U7 C 0 NAND_3 NAND_2 C 1 U8 Q_ K NAND_2 J X 0 0 1 1 K X 0 1 0 1 Qk Q Q 0 1 Q‟ Qn 1  J.Qn  K.Qn NAND_3 www.ptit.edu.vn V1.0 S Q Qk Q 0 1 Q‟ Q U6 U1 J ĐI N T B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 117 BÀI GI NG MÔN : 5.3. B ng hƠm kích thích c a các lo i Trig Q Qk S R J K T D 0 0 0 X 0 X 0 0 0 1 1 0 1 X 1 1 1 0 0 1 X 1 1 0 1 1 X 0 X 0 0 1 www.ptit.edu.vn V1.0 ĐI N T B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 118 S 5.4. Trig Chính-Ph BÀI GI NG MÔN : (Master-Slave) ĐI N T S  Do các lo i trig đ ng b trên đ u ho t đ ng t i s n d ng hay s n ơm c a xung nhịp nên khi lƠm vi c t n s cao thì l i ra Q không đáp ng kịp v i s thay đ i c a xung nhịp, d n đ n m ch ho t đ ng tình tr ng không đ ợc tin c y.  L i ra c a trig MS thay đ i t i s n d ng vƠ s n ơm c a xung nhịp, nên c u trúc c a nó g m 2 trig gi ng nhau nh ng c c tính đi u khiển c a xung Clock thì ng ợc nhau để đ m b o sao cho t i m i s n c a xung s có m t trig ho t đ ng. C > TRIG MASTER www.ptit.edu.vn V1.0 B > TRIG SLAVE GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 119 BÀI GI NG MÔN : 5.5. L i vƠo không đ ng b c a Trig  Các lối vào dữ li u thông th là những lối vào đồng b ĐI N T S ng của trig nh D, S, R, J hoặc K  Các trig còn có thêm 2 đ u vào không đồng b , các lối này tác đ ng trực tiếp lên các lối ra mà không phụ thu c vào xung Clock  Các lối vào này th ng đ ợc ký hi u là: PRE (l p) và CLR (R xóa) hoặc PRE và CLR (R) www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 120 5.6. M t s IC Trig thông d ng BÀI GI NG MÔN : ĐI N T S  Trig JK: IC 54/7473- IC nƠy g m hai trig JK có l i vƠo xóa vƠ không có l i vƠo l p ho t đ ngt i s n ơm c a xung Clock  Trig D: IC 54/7474- IC nƠy g m hai trig D có l i vƠo xóa vƠ l i vƠo l p, ho t đ ng t i s n d ng c a xung Clock  Trig JK: IC 54/7476- IC nƠy g m hai trig JK có l i vƠo xóa vƠ l i vƠo l p, ho t đ ng t i s n ơm c a xung Clock. Q1 J1 14 1 CLK1 GND Q1 13 12 2 CLR1 K1 11 3 4 VCC www.ptit.edu.vn V1.0 K2 Q2 10 5 Q2 9 8 6 CLK2 CLR2 B 7 J2 VCC CLR2 D2 CLK2 PR2 Q2 14 13 12 11 10 1 2 3 4 5 CLK1 D1 CLK1 PR1 Q1 Q1 K1 Q1 Q1 GND K2 Q2 Q 2 J2 16 15 14 13 12 11 10 9 Q2 9 8 6 7 GND 1 2 3 CLK1 PR1 CLR1 J1 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 4 5 6 7 8 VCC CLK2 PR2 CLR2 121 5.7. Ph BÀI GI NG MÔN : ng pháp mô t m ch tu n t ĐI N T  Ph ng trình logic (hay ph ng pháp đ i s )  Dùng các ph ng trình logic đ mô t tr ng thái vƠ đ u ra.  B ng tr ng thái  B ng chuy n đổi tr ng thái  B ng tín hi u ra  Đ hình tr ng thái  Mô hình Mealy thực hi n ánh x  Mô hình Moore  Đ thị d ng xung www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 122 S BÀI GI NG MÔN : 5.7.1. B ng tr ng thái (1)  B ng chuyển đ i tr ng thái  Bao gồm các hƠng vƠ các c t  Các hƠng ghi các tr ng thái trong ĐI N T  các c t ghi các giá tr của tín hi u vƠo.  Các ô ghi giá tr các tr ng thái trong kế tiếp mƠ m ch sẽ chuy n đến ứng v i các giá tr hƠng vƠ c t Tín hi u vƠo Tr ng thái trong V S V1 V2 ầầ. Vn Ō S1 Tr ng thái kế tiếp Qk S2 . . Sn www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 123 S BÀI GI NG MÔN : 5.7.2. B ng tr ng thái (2) ĐI N T  B ng tín hi u ra  Các hƠng của b ng ghi các tr ng thái trong  Các c t ghi các tín hi u vƠo.  Các ô ghi giá tr của tín hi u ra t ng ứng. Tín hi u vƠo Tr ng thái trong V S V1 V2 ầầ. Vn Ō S1 Tín hi u ra S2 : : Sn www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 124 S 5.7.2. B ng tr ng thái (3) Tr ng thái trong Tín hi u vƠo V1 V2 ầầ . V S S1 S2 : Sn BÀI GI NG MÔN : ĐI N T Vn Q1n 1 / Z Qn2 1 / Z Ō Tr ng thái kế tiếp Qn+1 và tín hi u ra Z Qin 1 / Z Bảng 5-12. Bảng chuyển đổi trạng thái và tín hiệu ra www.ptit.edu.vn V1.0 B S GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 125 5.7.3. Đ hình tr ng thái  Đ hình tr ng thái là hình v ph n ánh quy lu t chuyển đ i tr ng thái và tình tr ng các giá trị l i vào và l i ra t ng ng c a m ch tu n t . BÀI GI NG MÔN :  Mô hình Mealy  Mô hình Moore www.ptit.edu.vn V1.0 B S 1/ 0/ 0 1  Đ hình tr ng thái là m t đ hình có h ng g m hai t p:  M - T p các đỉnh và K - T p các cung có h ng. ĐI N T 1/ 0/ Q D Qk 0 0 0 0 1 1 1 0 0 1 1 1 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 126 BÀI GI NG MÔN : 5.7.4. Phơn tích m ch tu n t - LỦ thuy t  Vi t ch ng trình logic:  ↑iết ch ng trình logic cho lối vƠo đồng b , chỉ ra đi u ki n chuy n tr ng thái của các ph n t nh . ĐI N T S Vi t c.trình logic  Xác định hƠm ra: Xác định hƠm ra  Tìm hàm kích thích:  Căn cứ lo i TG đ tìm kích thích, ph ng trình chuy n đổi tr ng thái (chính lƠ ph ng trình đặc tr ng của TG đƣ cho). Tìm hàm kích thích  Ph ng trình chuyển đ i tr ng thái:  Xác đ nh số tổ hợp tr ng thái vƠ thay các tổ hợp nƠy vƠo các ph ng trình kích thích, ph ng trình chuy n đổi tr ng thái Pt chuyển đ i TT đ tính b ng chuy n đổi tr ng thái.  V đ hình tr ng thái d gọn  V    đ thị d ng xung g m: Xung đồng hồ, Xung của m i biến tr ng thái, Xung ra. www.ptit.edu.vn V1.0 i d ng nhị phơn hoặc d ng rút B Đ hình tr ng thái Đ thị d ng xung Các bước phân tích mạch tuần tự GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 127 BÀI GI NG MÔN : 5.7.4. Phơn tích m ch tu n t - Ví d  Bước 1: S đ có hai đ u vào là tín hi u X và xung nhịp Clock. Có m t tín hi u Z ra, m ch s d ng hai ph n t nh là hai trig JK (Q0 và Q1). J0 ĐI N T J1 Q0 Q1 > > X 1 K1 K0 Q0 Q1 Clock  Bước 2: Xác định đ u vào, đ u ra và s tr ng thái trong c a m ch.  M ch này có thể đ ợc biểu di n bằng m t ắh p đen” có hai đ u vào và m t đ u ra. Do m ch đ ợc c u t o bằng hai trig nên s tr ng thái có thể có c a m ch là 4. C thể là:Q1Q0 = 00, 01, 10 và 11. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 128 S Z BÀI GI NG MÔN : 5.7. 4.Phơn tích m ch tu n t - Ví d ĐI N T  Bước 3: Xác định ph ng trình hƠm ra vƠ hƠm kích cho trig .  T s đồ trên ta tìm đ ợc:  Ph ng trình hƠm ra: Z = C Q1 Q0  Ph ng trình hƠm kích J0 = Q1; K0 = 1 X Q0  X  Q0 Q0 J1 = ; K1 =  Bước 4. B ng chuyển đ i tr ng tháiQk  J Q  K Q  Ph ng trình đặc tr ng của trig JK lƠ  Ph ng trình chuy n đổi tr ng thái: Q0k  J 0 Q0  K 0 Q0  Q1 Q0 Q1k  J1 Q1  K1 Q1  Q0 Q1  X  Q0 Q1  Q0 Q1  X Q0 Q1 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 129 S BÀI GI NG MÔN : 5.7.4. Phơn tích m ch tu n t - Ví d Tr ng thái hi n t i S Tr ng thái kế tiếp ĐI N T Tín hi u ra Q0Q1 X=0 Q0Q1 X=1 Q0Q1 X=0 Z X=1 Z 00 01 01 0 0 01 10 11 0 0 11 00 00 1 1 10 00 00 0 0 0 S 00 S0 1 S 2 S S1 X 3 01 X . Bảng chuyển đổi trạng thái S3 10 11 S2 Z=1  Bước 5: Đ hình tr ng thái. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 130 S BÀI GI NG MÔN : 5.7. 4.Phơn tích m ch tu n t - Ví d ĐI N T S  B c 6: Ch c năng c a m ch:  Trên đ hình tr ng thái ta th y có hai đ ng chuyển đ i tr ng thái là S0 → S1-→ S2 → S--0 và S0 → S1-→ S3 → S--0.  Theo đ ng S0 → S1-→ S2 → S--0 thì tín hi u ra Z = 1 s đ ợc đ a ra cùng th i điểm có xung nhịp th 3.  Theo đ ng S0 → S1-→ S3 → S--0 thì không có tín hi u ra (Z = 0). Do v y ta s phân tích theo con đ ng th nh t S0 → S1-→ S2 → S--0 : S chuyển đ i tr ng thái đ u tiên t S0 → S1 chỉ nh tác đ ng c a xung nhịp mà không ph thu c vào tr ng thái c a X.  Chuyển đ i tr ng thái th hai t S1S2 nh tác đ ng c a xung nhịp và s tác đ ng c a tín hi u vào X = 1.  Còn s chuyển đ i tr ng thái th ba t S2  S0 chỉ nh tác đ ng c a xung nhịp mà không ph thu c vào tín hi u vào. X 0  011 1  011 Clock 0 1 1 2 1 3 0 1 0 2 1 1 1 3 1 2 Z = C Q1Q 0 Z = Q1 Q0 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 0 131 3 5.7.5. Thi t k m ch tu n t BÀI GI NG MÔN :  Bài toán ban đ u:  Nhi m vụ thiết kế đ ợc mô t bằng ngôn ngữ hoặc bằng l u đồ thu t toán.  Hình th c hoá:  T các dữ ki n đề bài cho mà ta mô t ho t đ ng của m ch bằng cách hình thức hoá dữ ki n ban đ u d ng b ng tr ng thái, b ng ra hay đồ hình tr ng thái. Sau đó rút g n các tr ng thái của m ch đ có đ ợc số tr ng thái trong ít nh t.  Mã hoá tr ng thái:  Mã hoá tín hi u vào ra, tr ng thái trong đ nh n đ ợc mã nh phân (hoặc có th là các lo i mã khác) có t p tín hi u vào là X, t p tín hi u ra là Y, t p các tr ng thái trong là Q.  H hàm c a m ch:  Xác đ nh h ph ng trình logic của m ch và tối thi u hoá các ph ng trình này. Nếu m ch tu n tự khi thiết kế c n dùng các trig và m ch tổ hợp thì tuỳ theo yêu c u mà ta viết h ph ng trình cho các lối vào kích cho t ng lo i trig đó.  Xây d ng s đ www.ptit.edu.vn V1.0 ĐI N T S BƠi toán ban đ u Hình th c hoá Mƣ hoá tr ng thái H hƠm c a m ch S đ Các bước thiết kế mạch tuần tự B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 132 BÀI GI NG MÔN : 5.7.5.1.Các b c thi t k m ch tu n t đ ng b  Bước 1: Xác định bƠi toán, gán hƠm vƠ bi n, tìm hiểu m i quan h gi a chúng.  Bước 2: Xơy d ng đ hình tr ng thái, b ng chuyển đ i tr ng thái vƠ hƠm ra.  Bước 3: Rút gọn tr ng thái (t i thiểu hoá tr ng thái). Xơy dựng đồ hình tr ng thái b ng chuy n đổi tr ng thái Rút g n tr ng thái Mƣ hóa tr ng thái Xác đ nh h ph trình  Bước 6: V s đ th c hi n. www.ptit.edu.vn V1.0 B S BƠi toán ban đ u  Bước 4: Mƣ hoá tr ng thái.  Nếu số l ợng tr ng thái trong lƠ N, số biến nh phơn c n dùng lƠ n thì n ph i tho mƣn điều ki n: n  log2N.  Bước 5: Xác định h ph ng trình c a m ch. Có hai cách xác định:  + L p b ng chuy n đổi tr ng thái vƠ tín hi u ra, t đó xác đ nh các ph ng trình kích cho các trig .  + Dựa trực tiếp vƠo đồ hình tr ng thái, viết h ph ng trình Ton, Toff của các trig vƠ ph ng trình hƠm ra. ĐI N T GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S đồ 133 ng ĐI N T c thi t k m ch tu n t không đ ng b BÀI GI NG MÔN : 5.7.5.2. Các b     S Bước 1: Xác định bài toán, gán hàm và bi n, tìm hiểu m i quan h gi a chúng. Bước 2: Xây d ng đ hình tr ng thái, b ng chuyển đ i tr ng thái và hàm ra. Bước 3: Rút gọn tr ng thái (t i thiểu hoá tr ng thái). Bước 4: Mã hoá tr ng thái.  Nếu số l ợng tr ng thái trong là N, số biến nh phân c n dùng là n thì n ph i tho mãn điều ki n: n  log2N.  Do m ch không đồng b ho t đ ng không có sự tác đ ng của xung nh p cho nên trong m ch th ng có các hi n t ợng ch y đua làm cho ho t đ ng của m ch b sai, vì v y khi mã hoá tr ng thái ph i tránh hi n t ợng này.  Bước 5: Xác định h ph ng trình c a m ch. Có hai cách xác định:  L p b ng chuy n đổi tr ng thái và tín hi u ra, xác đ nh các ph ng trình kích cho trig .  Dựa trực tiếp vào đồ hình tr ng thái, viết h ph ng trình Ton, Toff của các trig và ph ng trình hàm ra.  C hai cách này đều có d ng ph ng trình:  Ph ng trình của m ch chỉ dùng NAND.  Ph ng trình của m ch dùng trig RS không đồng b và các m ch NAND.  Ph ng trình của m ch dùng các lo i trig khác.  Bước 6: V s đ th c hi n. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 134 5.7.5.3. Thi t k m ch tu n t BÀI GI NG MÔN : ĐI N T  Cách 1: Dựa vào bảng chuyển đổi trạng thái. S  Ký hiệu : A, B, …N là các biến nhị phân dùng để mã hoá các trạng thái trong của mạch. X1, X2…Xm là các tín hiệu vào đã được mã hoá nhị phân. Z1, Z2…Zm là các tín hiệu ra đã được mã hoá nhị phân. Dựa vào bảng chuyển đổi trạng thái xác định hệ phương trình: Ak = fA (A, B, …N , X1, X2…Xm ) Bk = fB (A, B, …N , X1, X2…Xm ) …… Nk = fN (A, B, …N , X1, X2…Xm ) Z1 = g1 (A, B, …N , X1, X2…Xm ) Z2 = g2 (A, B, …N , X1, X2…Xm ) …… Zn = gn (A, B, …N , X1, X2…Xm ) Tối thiểu hoá hệ hàm và viết phương trình ở dạng chỉ dùng NAND. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 5.7.5.3. Thi t k m ch tu n t BÀI GI NG MÔN : ĐI N T S  Cách 2: Dựa trực tiếp vào đồ hình trạng thái  Cho đồ hình tr ng thái của m ch có t p tín hi u vƠo ↑, t p tín hi u ra R, t p tr ng thái trong S (ch a mƣ hoá nh phơn).  Các b c thi t k  Mƣ hoá tín hi u vƠo ↑, tín hi u ra R, tr ng thái trong S đ chuy n thƠnh m ch d ng nh phơn có các t p tín hi u vƠo X, tín hi u ra Y, tr ng thái trong Q.  Xác đ nh h ph ng trình tín hi u ra: Yi = fi (X, Q). Ph ng trình nƠy đ ợc xác đ nh trên các cung v i mô hình ki u Mealy, trên các đỉnh v i mô hình ki u Moore. Tối thi u các hƠm nƠy.  Xác đ nh h ph ng trình hƠm kích cho các trig vƠ tối thi u hoá nó.  Sau đơy gi i thi u thu t toán xác đ nh ph ng trình lối vƠo kích cho các trig t đồ hình tr ng thái.  Đối v i trig Qi b t kỳ sự thay đổi tr ng thái t Qi đến Qki chỉ có th có 4 kh năng. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 136 5.7.5.3. Thi t k m ch tu n t BÀI GI NG MÔN :  các cung biểu di n s thay đ i t đ n đ ợc kỦ hi u nh sau:  0  0 là (0)  1  1 (là 1)  0  1 là (2)  1  0 là (3). ĐI N T Qi = 0 Qi = 1 0 1 2 3  Thuật toán xác định phương trình lối vào kích cho trigơ Qi loại D. n 1 Qi  Di Di  Qin 1  = tuy n t t c các cung đi t i đỉnh có Qi = 1.  =  các cung lo i (2), k c khuyên t i đỉnh đó tức lƠ cung lo i 1  =  (1) và (2) www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S 137 ĐI N T BÀI GI NG MÔN : 5.7.5.4. Ví d  Thi t k b đ m đ ng b có Mđ = 5 000 0 Q1' 100 1 4 Q3' Q'2Q1' 011 Q2Q1 Q3 00 Q'2Q1' 2 3 01 11 10 001 010 0 0 1 3 2 1 4 x x x Bảng 5-16. Bảng mã hóa trạng thái ' 1 Q Hình 5-38. Đồ hình trạng thái Q2Q1 Q3 00 01 11 10 V1.0 01 11 10 0 1 2 4 3 0 0 0 0 0 1 0 x x x 1 1 x x x \ Bảng 5-17. Bảng chuyển đổi trạng thái www.ptit.edu.vn Q2Q1 Q3 00 B Q3 Y = Q3 Bảng 5-18. Bảng Các nô tìm hàm ra GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 138 S BÀI GI NG MÔN : 5.7.5.4. Ví d ĐI N T S Dùng trig D  Nhìn vƠo đ hình tr ng thái ta th y: Q3 = 1 t i đỉnh (4), Q2 = 1 t i đỉnh (2), (3), Q2 = 1 t i đỉnh (1), (3).  D3 =  Các cung đi đ n đỉnh (4) = (3) = Q3 Q2 Q1  D2 =  Các cung đi đ n đỉnh (2), (3) = (1) + (2) =  D1 =  Các cung đi đ n đỉnh (1), (3) = (0) + (2) = Q3 Q2 Q1  Q3 Q2 Q1 Q3 Q2 Q1  Q3 Q2 Q1  T đó ta l p b ng Các nô để t i thiểu hóa hƠm Di www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 139 BÀI GI NG MÔN : 5.7.5.4. Ví d ĐI N T Dùng trig D D3 = Q2Q3 D2 = Q2 Q3  Q2 Q3  Q2  Q3 D1 = Q1 Q3 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 140 S BÀI GI NG MÔN : 5.8. M t s m ch tu n t thông d ng ĐI N T  B đ m  B ghi dịch  ầ www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 141 S BÀI GI NG MÔN : 5.8.1. B đ m ĐI N T S  Định nghĩa : B đ m là m t m ch tu n t tu n hoàn có m t l i vào đ m và m t l i ra, m ch có s tr ng thái trong bằng chính h s đ m (ký hi u là Md).  D i tác d ng c a tín hi u vào đ m, m ch s chuyển t tr ng thái trong này đ n m t tr ng thái trong khác theo m t th t nh t định.  C sau Md tín hi u vào đ m m ch l i tr v tr ng thái xu t phát ban đ u.  B đ m đ ợc dùng nhi u trong các d ng c đo l tính đi n t và trong các h th ng s khác. X d/0 Xd Bộ đếm Hệ số đếm = Md Y 0 X d/0 X d/0 1 ng chỉ thị s , các máy X d/0 X d/0 2 X d/0 X d/0 Md-2 X d/0 X d/0 X d/1 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 142 Md-1 BÀI GI NG MÔN : 5.8.1. B đ m: 5.8.1.1.B Phơn lo i:  Theo s chuyển đ i tr ng thái: ĐI N T S  B đếm đồng b (Synchronous): Các trig đều ch u tác dụng điều khi n của m t xung đồng hồ duy nh t  B đếm không đồng b (Asynchronous): có trig ch u tác dụng điều khi n trực tiếp của xung đếm đ u vƠo, nh ng cũng có trig ch u tác dụng điều khi n của xung đ u ra của trig khác .  Theo h s đ m  B đếm nh phơn  B đếm th p phơn  B đếm N phơn  Theo xung đ m  B đếm thu n (Up counter) hay còn g i lƠ b đếm tiến  B đếm ngh ch (Down counter) hay còn g i lƠ b đếm lùi  B đếm thu n ngh ch www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 143 BÀI GI NG MÔN : 5.8.1. B đ m: 5.8.1.2.B đ m đ ng b Q3 Q2 Q1 ĐI N T Q4 1 J o> F1 K Q _ Q J o> F2 K Q _ Q J o> F3 K Q _ Q CLEAR CLK Hình 5-60. Bộ đếm thuận nhị phân đồng bộ 4 bit www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 J o> F4 K Q _ Q S BÀI GI NG MÔN : 5.8.1. B đ m: 5.8.1.2.B đ m đ ng b Q4n1 Q3n1 Q2n1 Q1n1 0 0 0 0 1 0 1 0 0 1 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 0 0 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 1 1 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 1 0 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 Q4n Q3n Q2n 0 0 0 0 0 0 Q1n Bảng 5-38. Bảng trạng thái của bộ đếm www.ptit.edu.vn V1.0 ĐI N T B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S ĐI N T BÀI GI NG MÔN : 5.8.1. B đ m: 5.8.1.2.B đ m đ ng b 0000 /0 /0 0001 0010 /0 /0 0011 0100 /0 /0 0101 0110 /0 /0 /0 1110 /0 1101 /0 1011 /0 1010 /0 1001 Hình 5-61. Đồ hình trạng thái của bộ đếm nhị phân 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 CLK Q1 Q2 Q3 Q4 Hình 5-62. Dạng sóng bộ đếm thuận nhị phân đồng bộ www.ptit.edu.vn V1.0 0111 /0 /1 1111 S B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 1000 /0 0111 BÀI GI NG MÔN : 5.8.1. B đ m: 5.8.1.2. B đ m đ ng b ĐI N T Phơn tích vƠ cho bi t ch c năng c a m ch www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S BÀI GI NG MÔN : 5.8.1. B đ m: 5.8.1.3. B đ m không đ ng b ĐI N T Phơn tích vƠ cho bi t ch c năng c a m ch www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S BÀI GI NG MÔN : 5.8.1. B đ m: 5.8.1.3. B đ m không đ ng b ĐI N T Phơn tích vƠ cho bi t ch c năng c a m ch www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S BÀI GI NG MÔN : 5.8.1.4. IC đ m-IC 74192, 74193 ĐI N T S  Trong các b đ m nƠy, khi th c hi n đ m thu n thì xung Clock đ ợc n i v i CLK-UP, còn chân CLK-DOWN đ ợc n i v i logic 1; khi đ m nghịch thì ng ợc l i.  Các chơn CARRY (nh ) vƠ BORROW (m ợn) có logic 1 vƠ nó s chuyển m c th p khi trƠn m c hoặc d i m c.  Chơn LOAD = 0 có thể n p d li u vƠo b đ m. Lối ra QA QB QC QC(MSB) Carry Borrow CLK - UP CLK - DOWN 74192, 74193 CLEAR PA PB PC PD L (MSB) Lối vào nạp dữ liệu www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 150 5.8.1.4. IC đ m-IC 7490, 74390 BÀI GI NG MÔN : ĐI N T S  Nó bao g m 4 trig cung c p b đ m g m hai Mod đ m: Mod 2 vƠ Mod 5.  Các b đ m Mod 2 vƠ Mod 5 có thể đ ợc s d ng m t cách đ c l p.  Trig A th c hi n đ m Mod 2, Trig B, C, D th c hi n đ m Mod 5.  IC 74390 lƠ b n kép (dual) c a 7490 Lối ra QA QB QC QD MSB CLKA TGB TGC TGD Bộ đếm Mod 5 Trigơ A (Bộ đếm Mod 2) CLKB R1 R2 S1 S2 Lối vào xóa Lối vào lập www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 151 BÀI GI NG MÔN : 5.8.1.4. IC đ m-IC 7492, 7493, IC 74293, 74393 ĐI N T S  Nó bao g m 4 trig cung c p b đ m g m hai Mod đ m: Mod 2 và Mod 6 hoặc mod 8.  Trig A th c hi n đ m Mod 2, Trig B, C, D th c hi n đ m Mod 5.  Ho t đ ng c a nh ng b đ m này gi ng nh IC 7490, chỉ khác là không có các l i vào l p và Mod 6 không đ m theo trình t nhị phân.  Các IC này th ng không dùng làm các b đ m mà dùng làm b chia t n Lối ra QA QC QD MSB TGC TGD TGB Mod 6 của IC 7492 Mod 8 của IC 7493/74293 Trigơ A (Bộ đếm Mod 2) CLKA QB CLKB R1 R2 Lối vào xóa www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 152 BÀI GI NG MÔN : 5.8.1.4. IC đ m-dùng IC thi t k b đ m b t kỳ ĐI N T S  M t s b đ m có các chân xóa (CLR), l i n p d li u, chân RC (ripple carry) ra có thể l p trình đ ợc  VD IC 74192, 74193  Để tìm m t b đ m chia h t cho m thì đ u vào n p P đ ợc cho b i công th c: P=(16-m) (n u dùng b đ m hex) hoặc =10-m n u dùng b đ m th p phân  Khi b đ m đ m t i giá trị m thì dùng giá trị này để n i vào chân CLR. Nhi m v c a chân Clear là gặp bit 1 thì xóa v 0. N u s bit 1 nhi u h n s chân Clear thì ta ph i dùng thêm c ng NAND (hoặc c ng AND) tùy m c tích c c c a chân Clear  N u b đ m không b t đ u t 0 (VD đ m t n đ n m) thì ph i n p giá trị n khi b t đ u đ m l i) www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 153 5.8.2. B ghi dịch BÀI GI NG MÔN : ĐI N T S  Có kh năng ghi (nh ) s li u vƠ dịch thông tin (sang ph i hoặc sang trái).  Đ ợc c u t o t m t dƣy ph n t nh đ ợc m c liên ti p v i nhau vƠ m t s các c ng logic c b n h trợ.  Mu n ghi vƠ truy n m t t nhị phơn n bit c n n ph n t nh (n trigger) www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 154 5.8.2. B ghi dịch-Phơn lo i BÀI GI NG MÔN : ĐI N T  Phân theo cách đ a tín hi u vào và l y tín hi u ra:  Vào nối tiếp, ra song songậ SIPO (Serial Input, Parallel Output)  Vào song song, ra song song ậ PIPO (Parallel Input, Parallel Output)  Vào nối tiếp, ra nối tiếp ậ SISO (Serial Input, Serial Output)  Vào song song, ra nối tiếp ậ PISO (Parallel Input, Serial Output):  Phân theo h ng dịch:  D ch ph i, d ch trái, d ch hai h ng, d ch vòng  Phân theo đ u vào:  Đ u vào đ n:  Đ u vào đôi:  Phân theo đ u ra:  Đ u ra đ n:  Đ u ra đôi: www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 155 S 5.8.2. B ghi dịch- ng d ng BÀI GI NG MÔN : ĐI N T  nh d li u  chuyển d li u t song song thƠnh n i ti p vƠ ng ợc l i.  để thi t k b đ m  t o dƣy tín hi u nhị phơn tu n hoƠn  M t s IC ghi dịch (giáo trình DTS m c 5.9.4) www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 156 S BÀI GI NG MÔN : 5.8.2. B ghi dịch-B ghi dịch song song  Các s li u c n ghi đ a vƠo D1, D2, D3, D4 ĐI N T S  Khi có m t xung đi u khiển ghi đ a t i l i vƠo CLK, d li u đ ợc n p vƠo b nh song song vƠ cho l i ra song song Q1 Q2 Q3 Q4 = D1 D2 D3 D4.  Vào song song D1 D2 D SD Q F1 > CLK CD D3 D SD F2 _ Q > CLK CD Q D4 D SD Q F3 _ Q >CLK CD _ Q D SD F4 > CLK Q Ra nối tiếp _ Q CD Xóa Ghi Điều khiển ra Q1 Q2 Q3 Q4 Ra song song www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 157 BÀI GI NG MÔN : 5.8.2. B ghi dịch-B ghi dịch n i ti p ĐI N T S  Có thể dịch ph i, dịch trái vƠ cho ra song song hoặc ra n i ti p . Mu n ghi n i ti p 4 bit c n 4 xung CLK vƠ cho ra l i ra song song.  Còn để l y s li u ra n i ti p c n thêm 3 xung nhịp n a Vào nối tiếp D SD Q F1 > CLK CD D SD F2 _ Q > CLK CD Q D SD Q F3 _ Q >CLK CD _ Q D SD F4 > CLK Q Ra nối tiếp _ Q CD Xóa Ghi Điều khiển ra Q1 Q2 Q3 Q4 Ra song song www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 158 5.8.2. B ghi dịch-B đ m vòng Q1 D 0100 1000 SD Q CD 0001 0010 Q2 D F1 > CLK ĐI N T BÀI GI NG MÔN : Q3 SD Q F2 > CLK _ Q CD S D SD Q F3 >CLK _ Q Q4 CD D SD Q F4 > CLK _ Q _ Q CD Xóa CLK 1110 0111 0011 0000 1001 1000 0100 0001 0010 Q1 0101 1011 D SD Q F1 > CLK 1111 0110 1101 CD 1010 Q2 D SD F2 _ Q > CLK CD Q Q3 D SD Q F3 _ Q >CLK CD D SD F4 > CLK _ Q CD Xóa 1100 www.ptit.edu.vn V1.0 CLK B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Q4 159 Q _ Q ĐI N T 5.8.2. B ghi dịch-B đ m vòng xo n (mƣ Johnson) S BÀI GI NG MÔN :  lƠ b d m có s bit 1 trong t mƣ tăng d n, sau đó l i gi m d n.  T ng t có b đ m vòng xo n t kh i đ ng. D1  Qn Q1 D 0000 1000 1100 SD Q F1 1110 > CLK CD 0001 0011 0111 1111 Q2 D SD F2 _ Q > CLK CD Q Q3 D SD Q F3 _ Q >CLK CD _ Q Q4 D SD F4 > CLK CD Xóa CLK www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 160 Q _ Q 5.9. Thanh ch t d li u (Latch) BÀI GI NG MÔN : ĐI N T S  lƠ m ch logic s đ ợc dùng để l u tr tr ng thái s (1 hoặc 0) trong b l u tr d li u.  th ng đ ợc s d ng trong các m ch giao ti p Bus d li u, các b phơn kênh, hợp kênh, vƠ trong các m ch đi u khiển Dn LE H L X ŋ X On OE L L H H L Z Bảng 5-64b. Bảng chức năng của IC 74374 Dn LE H L X X H H L X On OE L L L H H L Q0 Z Bảng 5-64a. Bảng chức năng của IC 74373 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 161 BÀI GI NG MÔN : N i dung Ch ng 1: H đ m Ch ng 2: Đ i s Boole vƠ các ph Ch ng 3: C ng logic TTL vƠ CMOS Ch ng 4: M ch logic t hợp Ch ng 5: M ch logic tu n t • Ch ng pháp biểu di n hƠm ng 6: M ch phát xung vƠ t o d ng xung Ch ng 7: B nh bán d n. Ch ng 8: c u ki n logic kh trình (PLD) Ch ng 9: Ngôn ng mô t ph n c ng (VHDL) www.ptit.edu.vn V1.0 ĐI N T B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 162 S BÀI GI NG MÔN : ĐI N T S Headline (Times New Roman Black 36pt.) CH NG 6. M CH PHÁT XUNG VÀ T O D NG XUNG www.ptit.edu.vn V1.0 GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 163 BÀI GI NG MÔN : N i dung ĐI N T  M ch phát xung  M ch dao đ ng đa hƠi c b n cổng NAND TTL  M ch dao đ ng đa hƠi vòng RC  M ch dao đ ng đa hƠi th ch anh  M ch dao đ ng đa hƠi CMOS  Trig Schmit  M ch đa hƠi đợi  M ch đa hƠi đợi CMOS  M ch đa hƠi đợi TTL  IC định th i www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 164 S 6.1. M ch phát xung BÀI GI NG MÔN : ĐI N T  M ch dao đ ng đa hƠi c b n c ng NAND TTL  M ch dao đ ng đa hƠi vòng RC  M ch dao đ ng đa hƠi th ch anh  M ch dao đ ng đa hƠi CMOS www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 165 S ĐI N T 6.1.1. M ch dao đ ng đa hƠi c b n c ng NAND TTL (1) BÀI GI NG MÔN : S  C ng NAND khi làm vi c trong vùng chuyển ti p có thể k.đ i m nh tín hi u đ u vào. 2 c ng NAND đ ợc ghép đi n dung thành m ch vòng thì có b dao đ ng đa hài. VK là đ u vào đi u khiển, khi m c cao m ch phát xung, và khi m c th p m ch V ng ng phát. V o2 H VL R f1 Vi1 C2 Vo1 Vi2 Vi2 I II C1 VK 0 R f2 Vo2 VH - VL + VT  p1=Rf1C2 t VT 0 Vo1  n1=(R 1 // R f2)C 1 t VH VL Hình 6.1 0 VH - VL + VT t  p2=Rf2C1 VT 0 www.ptit.edu.vn V1.0 B  n2=(R 1 // R f1)C 2 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 t 166 BÀI GI NG MÔN : 6.1.2. M ch dao đ ng đa hƠi th ch anh ĐI N T S  Để có các tín hi u đ ng h có t n s chính xác và có đ n định cao, các m ch đa hài trình bày trên đơy không đáp ng đ ợc. Tinh thể th ch anh th ng đ ợc s d ng trong các tr ng hợp này. Th ch anh có tính n định t n s t t, h s ph m ch t r t cao d n đ n tính chọn lọc t n s r t cao.  T n s c a m ch dao đ ng chỉ ph thu c vào tinh thể th ch anh mà không ph thu c vào giá trị các t đi n và đi n tr trong m ch C2 R1 R2 Vo VK f0 www.ptit.edu.vn V1.0 B C1 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 167 BÀI GI NG MÔN : 6.1.3. M ch đa hƠi đợi ĐI N T S  Đ r ng xung t i đ u ra của m ch đ ợc xác đ nh bằng công thức sau: E TW R R0 C ln D ED VT trong đó R0 là đi n tr đ u ra của cổng 1, nếu VT=ED/2 thì: TW www.ptit.edu.vn V1.0 0,7 R B R0 C GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 168 6.2. Trig Schmit www.ptit.edu.vn V1.0 B BÀI GI NG MÔN : GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 ĐI N T S ĐI N T BÀI GI NG MÔN : 6.3. IC định th i (1) 8 S 4 R + - 5 Chân 1 2 3 4 Chức năng Đ t - GND Chân kích thích Đ u ra Xoá - Reset Chân 5 6 7 8 Chức năng Đi n áp điều khi n Chơn ng ỡng Đ u phóng đi n Nguồn ậ Vcc Bảng 6-1. Bảng mô tả chức năng của các chân trong IC 6 R R 2 V1.0 B S 7 R R1 Q1 1 www.ptit.edu.vn 3 + - M ch đi n IC 555. Ground 1 8 VCC Trigger 2 7 Discharge Output 3 6 Threshold Reset 4 5 Control Voltage GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 170 ĐI N T BÀI GI NG MÔN : 6.3.1. T o m ch đ n n  Khi chơn 2 nh n kích thích (nối đ t), ta th y S~ sẽ l p Q lên 1 vƠ xung sẽ xu t hi n lối ra 3. Lúc nƠy, Q~ = 0 nên Q1 khóa. Tụ C n p đi n. Khi đi n thế trên tụ (chơn 6) v ợt quá 2/3↑cc thì R~ = 0, do đó Q~ = 1. Xung l i ra kết thúc, Q1 thông vƠ tụ C phóng r t nhanh qua Q1. Tr ng thái nƠy giữ nguyên cho t i xung kích thích sau (nên ch n R1 l n đ không nóng transistor Q1)  Đ r ng xung ra đ ợc tính theo công thức: T = 1,1RC 8 4 R + - 5 6 R R 2 3 + - S 7 R Q1 R1 1 +Vcc R Kích thích 4 8 2/3Vcc 6 555 3 7 Vào 2 1 + - www.ptit.edu.vn V1.0 C B S Ra 5 Đi n thế trên tụ C Xung ra C1 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 171 6.3.2. T o m ch dao đ ng đa hƠi ĐI N T BÀI GI NG MÔN :  Chơn 2, 6 vƠ tụ C đ ợc nối v i nhau, nên đi n thế trên tụ sẽ điều khi n đồng th i c hai b so áp. Nếu đi n thế nƠy v ợt quá mức ng ỡng 2/3↑cc, thì xung trên đ u ra của TG sẽ b xoá. Ng ợc l i, khi tụ phóng xuống d i mức 1/3 ↑cc thì xung ra l i đ ợc l p. Quá trình nƠy sẽ tiếp di n vƠ cho m t chu i xung lối ra.  Chu kì của dao đ ng sẽ lƠ: 8 S 4 R + - 5 6 R R 2 3 + - S 7 R Q1 R1 1 T = TN + TP  TN lƠ th i gian n p vƠ đ ợc tính theo công th c: TN = 0,7C (R1+ R2)  TP th i gian phóng vƠ bằng: +Vcc 2/3VCC R1 8 4 7 TP = 0,7.C.R2  Nh v y: T = 0,7C (R1+ 2R2) www.ptit.edu.vn V1.0 B VCC R2 6 1/3VCC 555 2 1 + - C 3 Ra 0 Xung ra 5 C1 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 172 Đi n thế trên tụ C ĐI N T BÀI GI NG MÔN : 6.3.3.T o m ch dao đ ng ậ xung vuông  Các bi u thức trên chỉ ra rằng dãy xung ra chỉ vuông đều khi TN và TP bằng nhau, nghĩa là R1 = 0. Điều này không thực tế, vì lúc đó cực C của Q1 nối trực tiếp v i Vcc. Khi Q1 d n đi n xem nh nguồn Vcc b ngắn m ch. Có th cân bằng TN và TP bằng các diode phụ nh chỉ hình bên.  T n số dao đ ng của chu i xung ra là: 1, 4 f C  R1  2 R2  8 4 R + - 5 6 R R 2 3 + - S 7 R Q1 R1 1 +Vcc R1 D1 4 8 7 R2 555 3 6  ↑ i R1 = R2 = R thì (có Diod): f  0, 7 D2 2 1 + - 5 C C1 CR Hình 6. www.ptit.edu.vn V1.0 B S GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 173 Ra BÀI GI NG MÔN : N i dung Ch ng 1: H đ m Ch ng 2: Đ i s Boole vƠ các ph Ch ng 3: C ng logic TTL vƠ CMOS Ch ng 4: M ch logic t hợp Ch ng 5: M ch logic tu n t Ch ng 6: M ch phát xung vƠ t o d ng xung • Ch ng pháp biểu di n hƠm ng 7: B nh bán d n. Ch ng 8: c u ki n logic kh trình (PLD) Ch ng 9: Ngôn ng mô t ph n c ng (VHDL) www.ptit.edu.vn V1.0 ĐI N T B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 174 S BÀI GI NG MÔN : ĐI N T S Headline (Times New Roman Black 36pt.) CH B www.ptit.edu.vn V1.0 NH NG 7. BÁN D N GI NG ↑IểN: ThS. TR N THÚY HÀ BƠiTHU gi ng Đi N n tT s- KHOA KTDT1 B MỌN: KỸ T ĐI 175 BÀI GI NG MÔN : N i dung ĐI N T  Khái ni m chung  DRAM  SRAM  B nh c định ậ ROM  B nh bán c định  M r ng dung l ợng b nh www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 176 S 7.1. Khái ni m chung BÀI GI NG MÔN : ĐI N T  Khái ni m: S  B nh là m t thiết b có kh năng l u trữ thông tin (nh phân). Muốn s dụng b nh , tr c tiên ta ph i ghi dữ li u và các thông tin c n thiết vào nó, sau đó lúc c n thiết ph i l y dữ li u đƣ ghi tr c đó đ s dụng. Thủ tục ghi vào và đ c ra ph i đ ợc ki m soát chặt chẽ, tránh nh m l n nh đ nh v chính xác t ng v trí ô nh và n i dung của nó theo m t mã đ a chỉ duy nh t. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 177 7.1. Khái ni m chung BÀI GI NG MÔN : ĐI N T S  Nh ng đặc tr ng chính c a b nh  Dung l ợng của b nh . Dung l ợng b nh là số bit thông tin tối đa có th l u giữ trong nó. Dung l ợng cũng có th bi u th bằng số t nh n bit. Từ nhớ n bit là số bit (n) thông tin mà ta có th đ c hoặc ghi đồng th i vào b nh .  Cách truy c p thông tin: Có 2 cách là trực tiếp và gián tiếp Truy cập trực tiếp, hay còn g i là truy c p ng u nhiên (random access). cách này, không gian b nh đ ợc chia thành nhiều ô nh . M i ô nh chứa đ ợc 1 t nh n bit và có m t đ a chỉ xác đ nh, mã hoá bằng số nh phân k bit. M i b nh có k bit đ a chỉ sẽ có 2k ô nh và có th ghi đ ợc 2k t nh n bit. Truy cập tuần tự (serial access) hay còn g i là ki u truy c p tu n tự. Các đĩa t , băng t , trống t , thanh ghi d chầcó ki u truy c p này. Các bit thông tin đ ợc đ a vào và l y ra m t cách tu n tự.  Tốc đ truy c p thông tin. Đơy là thông số r t quan tr ng của b nh . Nó đ ợc đặc tr ng b i th i gian c n thiết đ truy c p thông tin. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 178 BÀI GI NG MÔN : 7.1. Khái ni m chung ậ Phơn lo i b nh ĐI N T S B NH BỄN D N B nh cố đ nh ROM MROM PROM B nh bán cố đ nh EPROM EEPROM B nh đ c/viết SRAM DRAM  D a trên th i gian vi t vƠ cách vi t, có thể chia thƠnh: b nh c định, b nh bán c định vƠ b nh đọc/vi t đ ợc.  B nh c định ROM (Read Only Memory): có n i dung đ ợc vi t sẵn m t l n.  MROM: lƠ lo i ROM sau khi đƣ đ ợc viết (bằng mặt n -mask) t nhƠ máy thì không viết l i đ ợc nữa.  PROM lƠ m t d ng khác, các bit có th đ ợc viết bằng thiết b ghi của ng i s dụng trong m t l n (Programmable ROM).  B nh có thể đọc/ vi t nhi u l n RAM (Random Access Memory) g m hai lo i:  RAM tĩnh-SRAM (Static RAM) th ng đ ợc xơy dựng trên các m ch đi n t trig .  RAM đ ng-DRAM (Dynamic RAM) đ ợc xơy dựng trên c s nh các đi n tích tụ đi n; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 179 BÀI GI NG MÔN : 7.1. Khái ni m chung ậ Phơn lo i b nh ĐI N T S  Giữa ROM và RAM có m t l p các b nh đ ợc g i là EPROM (Erasable PROM), dữ li u trong đó có th xoá đ ợc bằng tia cực tím và ghi l i đ ợc, EEPROM (Electric EPROM) có th xoá đ ợc bằng dòng đi n. Các lo i này còn đ ợc g i là b nh bán cố đ nh.  Các b nh DRAM th ng tho mãn những yêu c u khi c n b nh có dung l ợng l n; trong khi đó khi c n có tốc đ truy xu t l n thì ph i dùng các b nh SRAM có giá thành đắt h n. Nh ng c hai lo i này đều có nh ợc đi m là thu c lo i “bay h i” (volatile), thông tin sẽ b m t đi khi nguồn nuôi b ngắt. Do v y các ch ng trình dùng cho vi c kh i đ ng PC nh BIOS th ng ph i n p trên các b nh ROM. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 180 BÀI GI NG MÔN : 7.1. Khái ni m chung ậ T ch c c a b nh ĐI N T S  B nh th ng đ ợc t ch c g m nhi u vi m ch nh đ ợc ghép l i để có đ dài t và t ng s t c n thi t. Nh ng chip nh đ ợc thi t k sao cho có đ y đ m t s ch c năng c a b nh nh :  M t ma tr n nh gồm các ô nh , m i ô nh ứng v i m t bit nh .  M ch logic gi i mã đ a chỉ ô nh .  M ch logic cho phép đ c n i dung ô nh .  M ch logic cho phép viết n i dung ô nh .  Các b đ m vào, b đ m ra và b m r ng đ a chỉ. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 181 BÀI GI NG MÔN : 7.2. C u trúc c b n c a b nh ROM ĐI N T S  ROM bao g m 4 kh i c b n: + B nh ch a các ô nh vƠ trong các ô nh lƠ các t nh . + M ch đi u khiển ti p nh n các tín hi u vƠo t kênh đi u khiển. + B gi i mƣ địa chỉ dùng để định vị ô nh . + M ch ra dùng để đ a n i dung ô nh t i các thi t bị có liên quan c n ti p nh n n i dung nƠy. ROM Kênh địa chỉ A9 A0 Kênh CE1 điều CE2 khiển CE3 Kh i nh B gi i mƣ địa chỉ Ô nhớ được định vị B đi u khiển M ch ra D7 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 D0 Kênh dữ liệu 182 BÀI GI NG MÔN : 7.2. C u trúc c b n c a b nh ROM ĐI N T  M i ô nh nhị phơn có ch c năng l u gi m t trong hai tr ng thái 0 hoặc 1.  M r ng b nh Bus đi u khiển Bus d li u Bus địa chỉ A9 CE1 CE2 ROM 1 1k x 8 CE1 CE2 ROM 2 1k x 8 A0 D7 D0 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 183 S BÀI GI NG MÔN : 7.2.1. B gi i mƣ địa chỉ ĐI N T  B gi i mƣ địa chỉ lƠ giao di n gi a kênh địa chỉ vƠ kh i nh .  Nó có kh năng truy n r t nhi u địa chỉ trên m t s ít đ  Địa chỉ nhị phơn ph i đ ợc gi i mƣ tr 1 ng truy n. c khi tác đ ng t i m ng ô nh . 33 32 31 30 23 22 21 20 13 12 11 10 03 02 01 00 0 A 3 A2 Cho phép đọc www.ptit.edu.vn V1.0 B A1 A0 0 1 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 184 S 7.2.2. M ch ra c a b nh BÀI GI NG MÔN : ĐI N T S  M ch ra có nhi m v k t n i d li u đƣ chọn v i kênh d li u vƠo lúc thích hợp. Mảng bộ nhớ Từ bộ điều khiển CE D3 D2 D1 D0 www.ptit.edu.vn V1.0 Bus dữ liệu B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 185 7.2.3. M ch đi u khiển BÀI GI NG MÔN : ĐI N T  M ch đi u khiển trong ROM có ch c năng khá đ n gi n. ROM Kênh địa chỉ A9 A0 Kênh CE1 điều CE2 khiển CE3 Kh i nh B gi i mƣ địa chỉ Ô nhớ được định vị B đi u khiển M ch ra D7 D0 Kênh dữ liệu www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 186 S 7.2.4. B nh c định ậ MROM BÀI GI NG MÔN : Các chip RAM không thích hợp cho các chương trình khởi động do các thông tin trên đó bị mất khi tắt nguồn. Do vậy phải dùng đến ROM, trong đó các số liệu cần lưu trữ được viết một lần theo cách không bay hơi để nhằm giữ được mãi.  MROM ậ ROM l p trình theo kiểu mặt n ĐI N T S +V +5V R4 R3 R2 R1 1 0 Các dây hàng (i hàng) Các dây bit (j c t)  Đ ợc chế t o trên m t phiến silic theo m t số b c x lý nh quang khắc và khếch tán đ t o ra những tiếp giáp bán d n có tính d n đi n theo m t chiều (nh diode, transistor tr ng). Ng i thiết kế đ nh rõ ch ng trình muốn ghi vào ROM, thông tin này đ ợc s dụng đ điều khi n quá trình làm mặt n . Hình 7-6 là m t ví dụ đ n gi n về s đồ MROM dùng diode.  Ch giao nhau giữa các dây t (hàng) và các dây bit (c t) t o nên m t ph n t nh (ô nh ). M t diode đ ợc đặt t i đó (hình vẽ) sẽ cho phép l u trữ số li u “0”. Ng ợc l i những v trí không có diode thì sẽ cho phép l u trữ số li u “1”. Khi đ c m t t số li u thứ i của ROM, b gi i mã sẽ đặt dây t đó xuống mức logic th p, các dây còn l i mức cao. Do v y chỉ những diode nối v i dây này đ ợc phân cực thu n, do đó nó sẽ d n làm cho đi n thế lối ra trên các dây bit t ng ứng mức logic th p, các dây bit còn l i sẽ giữ mức cao. www.ptit.edu.vn V1.0 B Hình 7-6. MROM diode đ n gi n GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 187 7.2.5. B nh c định ậ PROM BÀI GI NG MÔN : ĐI N T S  PROM cũng gồm có các diode nh MROM nh ng chúng có mặt đ y đủ t o các v trí giao nhau giữa dây t và dây bit. M i diode đ ợc nối v i m t c u chì.  Bình th ng khi ch a l p trình, các c u chì còn nguyên vẹn, n i dung của PROM sẽ toàn là 0. Khi đ nh v đến m t bit bằng cách đặt m t xung đi n lối ra t ng ứng, c u chì sẽ b đứt và bit này sẽ bằng 1. Bằng cách đó ta có th l p trình toàn b các bit trong PROM.  Nh v y, vi c l p trình đó có th đ ợc thực hi n b i ng dụng chỉ m t l n duy nh t, không th s a đổi đ ợc. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 188 is BÀI GI NG MÔN : ĐI N T 7.2.5. PROM  Hình 7-11. PROM dùng diode +5V R4 R3 R2 R1 WE0 Các dây t (i) WE1 WE2 WE3 D3 D2 D1 D0 Các dây bit (j) www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 189 S ĐI N T 7.2.5.B nh bán c định - EPROM (Erasable PROM) BÀI GI NG MÔN : S  Hình 7- 7 chỉ ra c u trúc của m t transistor dùng đ làm m t ô nh g i là FAMOST (Floating gate avalanche injection MOS transistor).  Trong ô nh dùng transistor này, cực c a đ ợc nối v i đ ng t , cực máng đ ợc nối v i đ ng bit và cực nguồn đ ợc nối v i nguồn chu n đ ợc coi là nguồn cho mức logic 1. Khác v i transistor MOS bình th ng, transistor lo i này còn có thêm m t c a g i là cửa nổi (floating gate); đó là m t vùng v t li u đ ợc thêm vào vào giữa l p cách đi n cao nh hình 7-7. Nếu c a nổi không có đi n tích thì nó không nh h ng gì đến cực c a điều khi n và transistor ho t đ ng nh bình th ng. Nguồn Cửa hv Máng hv ID “0” Xoá Cửa điều khiển Cửa nổi Lớp ôxit n- Nguồn - - - - - “1” Lớp ôxit Lập trình n- Máng Đế bán dẫn loại p v0 v1 vGS Hình 7-7. C u trúc của m t EPROM www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 190 BÀI GI NG MÔN : 7.2.6. B nh bán c định ậ EEPROM ĐI N T S  C a s th ch anh có giá thƠnh khá đ t vƠ không ti n lợi nên nh ng năm g n đơy xu t hi n các chip PROM có thể xoá s li u bằng ph ng pháp đi n. C u trúc c a ô nh gi ng nh hình 7-8. Nguồn Cửa Máng Cửa điều khiển Cửa nổi Lớp Lớpôxit ôxit n- Nguồn - - - - - - - - - Lớp ôxit n- Máng Đường hầm ôxít Đế bán dẫn loại p Hình 7-8. C u trúc của m t EEPROM www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 191 BÀI GI NG MÔN : 7.3. RAM ĐI N T S  RAM có kh năng cho phép ghi l u tr d li u thông tin tam th i trong m t th i gian, sau đó l i đọc thông tin đó để ti p t c x lý khi c n thi t nên nó có tên là b nh đọc/vi t.  M t đặc tính quan trọng khác c a RAM là các d li u trong RAM chỉ có tính ch t t m th i, d bị xóa khi m t ngu n năng l ợng c p www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 192 7.3.1. C u trúc kh i c a RAM BÀI GI NG MÔN : ĐI N T S  RAM cũng có 4 ph n chính nh mô t trên hình 7-17. Điểm khác bi t là:  + M ch điều khi n của RAM ph i có thêm đ u vào R/W điều khi n hai quá trình c b n trong thao tác của RAM: ghi dữ li u thông tin vào nó và quá trình xu t (đ c) thông tin đƣ ghi.  + M ch đ u ra có kh năng ki m soát hai chiều tr c khi cho phép giao tiếp v i kênh dữ li u. Quá trình này tuân theo nguyên tắc: (đồng b v i vi c điều khi n R/W) khi b nh đang đ c thì không đ ợc ghi và ng ợc l i; tr ng thái thứ ba có th ch quyết đ nh. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 193 BÀI GI NG MÔN : 7.3.1. C u trúc RAM ĐI N T S  C u trúc 4 kh i c a m t RAM có 8 bit d li u vƠ 8 bit địa chỉ RAM Kênh địa chỉ A9 A0 Kh i nh B gi i mƣ địa chỉ Địa chỉ được chọn R/W CE Di Kênh CE1 điều CE2 khiển R/W B đi u khiển RE/WE M ch vƠo/ra D7 D0 Bus vào/ra dữ liệu www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 194 BÀI GI NG MÔN : 7.3.2. M ch vƠo ra ĐI N T Mảng bộ nhớ G’1 G’0 RE G0 G1 G’2 G2 G’3 G3 Bus dữ liệu WE www.ptit.edu.vn V1.0 D3 D2 D1 D0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 195 S 7.3.3. M ch đi u khiển BÀI GI NG MÔN : ĐI N T S  + Khi chế đ đ c, xung R/W mức logic 1. Đồng th i các tín hi u cho phép ch n CE1, CE2 đ ợc kích ho t mức 1 nên lúc này RE = 1, tức là chế đ đ c đ ợc thiết l p. Khi đó tín hi u = 0 nên tín hi u cho phép ghi WE = 0 (c m ghi).  + Khi chế đ ghi, xung R/W mức logic 0, = 1, đồng th i các tín hi u cho phép ch n CE1, CE2 đ ợc kích ho t mức 1 nên lúc này WE = 1, tức là nó chế đ ghi. Khi đó tín hi u R/W = 0 nên tín hi u cho phép đ c RE = 0 (c m đ c). R/W RE (cho phép đọc) CE1 CE2 WE (cho phép ghi) www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 196 ĐI N T BÀI GI NG MÔN : S 7.3.4. DRAM Tụ điện Transistor Cửa Điện cực Lớp ôxit n- Nguồn n- Máng Lớp ôxit Tra Vùng lưu giữ C điện tích Đế bán dẫn loại p WL BL  Các ô nh đ ợc xắp xếp theo hàng và c t trong m t ma tr n nh . Đ a chỉ ô nh đ ợc chia thành hai ph n: đ a chỉ hàng và c t. Hai đ a chỉ này đ ợc đ c vào b đ m m t cách l n l ợt. X lý ki u này đ ợc g i là hợp kênh, lý do là đ gi m kích th c b gi i mã, tức là gi m kích th c và giá thành vi m ch. Quá trình dồn kênh đ a chỉ này đ ợc điều khi n b i các tín hi u RAS (Row Access Strobe) và CAS (Column Access Strobe). BL  Nếu RAS mức tích cực th p thì DRAM nh n đ ợc đ a chỉ đặt vào nó và s dụng nh đ a chỉ hàng.  Nếu CAS mức tích cực th p thì DRAM nh n đ ợc đ a chỉ đặt vào nó và s dụng nh đ a chỉ c t. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 197 BÀI GI NG MÔN : 7.3.5. SRAM ĐI N T S VCC Tra Tra Tra C WL Trs Trs WL BL BL BL BL  M t ô nh c a SRAM gi thông tin b i tr ng thái c a m ch trig . Thu t ng ắtĩnh” chỉ ra rằng khi ngu n nuôi ch a bị c t thì thông tin c a ô nh v n đ ợc gi nguyên. Khác v i ô nh DRAM, đơy ô nh trig cung c p m t tín hi u s m nh h n nhi u vì đƣ có các transistor trong các ô nh , chúng có kh năng khu ch đ i tín hi u vƠ do đó có thể c p tr c ti p cho các đ ng bit. Trong DRAM, s khu ch đ i tín hi u trong các b khu ch đ i c n nhi u th i gian vƠ do đó th i gian truy nh p dƠi h n. Khi định địa chỉ trong các trig SRAM, các transistor b sung cho các trig , các b gi i mƣ địa chỉầcũng đ ợc đòi h i nh DRAM. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 198 7.4. B nh FLASH BÀI GI NG MÔN : ĐI N T S  C u trúc của chúng c b n nh EEPROM, chỉ có l p kênh ôxit các ô nh mỏng h n. B nh flash có th ho t đ ng g n mềm dẻo nh DRAM và SRAM nh ng l i không b m t số li u khi b cắt đi n.  Ph n chính là m ng nh bao gồm các ô nh FAMOST nh đ ợc mô t mục trên. Giống nh SRAM, b nh flash không dồn phân kênh đ a chỉ. Các b gi i mã hàng và c t ch n m t đ ng t và m t hoặc nhiều cặp đ ng bit. Số li u đ c đ ợc đ a ra ngoài b đ m số li u I/O hoặc đ ợc viết vào ô nh đƣ đ ợc đ nh đ a chỉ b i b đ m này qua cổng I/O.  M t chip nh flash 1 Mb có th đ ợc l p trình trong kho ng 2 sec, nh ng khác v i EEPROM vi c xoá đ ợc thực hi n t ng chip m t. Th i gian xoá cho toàn b b nh flash kho ng 1 sec. X lý đ c, l p trình và xoá đ ợc điều khi n b i các l nh có đ dài 2 byte đ ợc b x lý viết vào các thanh ghi l nh của m ch điều khi n flash. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 199 BÀI GI NG MÔN : 7.4. B nh FLASH ĐI N T VPP Chuyển mạch điện thế xoá Điều khiển WE CE OE Thanh ghi lệnh Chuyển mạch điện thế chương trình Bộ định thời Giải mã hàng Địa chỉ Đệm địa chỉ Giải mã cột Dữ liệu vào Ma trận tế bào nhớ Cửa vào ra Đệm vào ra dữ liệu Hình 7-9. S đồ b nh FLASH www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 200 S 7.5. B nh CACHE BÀI GI NG MÔN : ĐI N T S  Giữa CPU và b nh chính bằng DRAM, ng i ta xen vào m t b nh SRAM nhanh có dung l ợng nhỏ bằng 1/10 hoặc 1/100 l n b nh chính g i là cache; d i sự điều khi n của m ch điều khi n cache, b nh này sẽ l u trữ t m th i các số li u th ng đ ợc g i và cung c p nó cho CPU trong th i gian ngắn.  Cache chứa các thông tin m i v a đ ợc CPU s dụng g n đơy nh t. Khi CPU đ c số li u nó sẽ đ a ra m t đ a chỉ t i b điều khi n cache. Sau đó m t trong hai quá trình sau sẽ x y ra: SRAM Cache DRAM trong bộ nhớ chính CPU Bộ điều khiển CACHE www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 201 7.6. M r ng dung l ợng b nh BÀI GI NG MÔN : ĐI N T S  Các vi m ch nh bán d n chỉ có dung l ợng xác định. Mu n có b nh có dung l ợng l n h n, ta tìm cách ghép nhi u vi m ch nh nhằm m t trong ba m c đích sau:  Tăng đ dƠi nh , nh ng không lƠm tăng số l ợng t nh .  Tăng số l ợng t nh nh ng không lƠm tăng đ dƠi t nh .  Tăng c số l ợng vƠ đ dƠi t nh . www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 202 7.6.1. M r ng đ dƠi t  Trên m t chíp nh , có thể có đ ợc 1 đ n m t s h u h n l i ra, th ng là 4 hoặc 8 bit. Mu n có đ dài t l n h n, chẳng h n t 4 lên 8 hoặc 16 bit, ta ti n hành ghép nhi u chíp nh nh chỉ hình 7-10 đ i v i RAM. Đ i v i ROM cách làm cũng t ng t , chỉ khác trong tr ng hợp này, có thể không có l i vào R/W. www.ptit.edu.vn V1.0 B BÀI GI NG MÔN : ĐI N T A0 BUS đ a chỉ An-1 RAM I RAM II   D0 BUS dữ li u Dn-1 BUS dữ li u Hình 7-10. S đồ m r ng đ dƠi t . GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 203 S 7.6.2. M r ng dung l ợng (1) BÀI GI NG MÔN : ĐI N T S  Mu n m r ng dung l ợng, ta cũng ghép nhi u chíp l i v i nhau. Nh đƣ bi t, dung l ợng có liên quan đ n s l i vào địa chỉ (C = 2N x đ dài t , v i N là s l i vào địa chỉ). C tăng 1 chíp thì c n có thêm m t l i vào địa chỉ.  Khi m r ng dung l ợng các l i vào/ra d li u D và R/ đ ợc n i song song. M t ph n dung l ợng đ ợc tr vào m i chíp. S phân chia này d a trên c s t hợp địa chỉ vào và l i vào đi u khiển. Hình 7-11 là m t s đ ví d . A0 A0 IC 1 A11 A12 A11 2k Hình 7-11. Ph V1.0 A0 IC 3 A0 IC 4 A11 A11 2k 2k B gi i mã vào 2 ra 4 A13 www.ptit.edu.vn A0 IC 2 A11 2k B ng pháp m r ng dung l ợng. GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 204 BÀI GI NG MÔN : 7.6.2. M r ng dung l ợng (2) A0 A11 A12 A13 A0 IC 1 A0 IC 2 A0 IC 3 A0 IC 4 A11 A11 A11 A11 2k 2k S 2k B gi i mã vào 2 ra 4 Hình 7-11. Ph www.ptit.edu.vn V1.0 2k ĐI N T B ng pháp m r ng dung l ợng. IC m Kho ng địa chỉ IC I 000016 - 0FFF16 A13 A12 _CS 0 0 _CS1 0 1 _CS2 IC II 100016 - 1FFF16 1 0 _CS3 IC III 200016 - 2FFF16 1 1 _CS4 IC IV 300016 - 3FFF16 GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 205 BÀI GI NG MÔN : N i dung Ch ng 1: H đ m Ch ng 2: Đ i s Boole vƠ các ph Ch ng 3: C ng logic TTL vƠ CMOS Ch ng 4: M ch logic t hợp Ch ng 5: M ch logic tu n t Ch ng 6: M ch phát xung vƠ t o d ng xung Ch ng 7: B nh bán d n. • Ch Ch ng pháp biểu di n hƠm ng 8: C u ki n logic kh trình (PLD) ng 9: Ngôn ng mô t ph n c ng (VHDL) www.ptit.edu.vn V1.0 ĐI N T B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 206 S BÀI GI NG MÔN : CH ĐI N T NG 8. C U KI N LOGIC KH TRÌNH www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 207 S 8.1 GI I THI U V CÔNG NGH LOGIC S ĐI N T BÀI GI NG MÔN : Công ngh Logic s (Digital Logic) TTL 74xx Programmable Logic (PLD) CMOS 4xxx SPLD FPGA ASICs CPLD Gate Arrays Full Custom VLSI Design Standard Cell MicroProcessor /RAMầ Standard Logic Hình 8.1 - Phân loại công nghệ logic số. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 208 S BÀI GI NG MÔN : 8.2 C U KI N LOGIC KH TRÌNH (PLD) ĐI N T  8.2.1 SPLD Đ u vào Đ u ra Điểm k t n i kh trình Hình 8.3 – Kiến trúc PAL www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 209 S ĐI N T BÀI GI NG MÔN : 8.2.1 SPLD -PAL X 0 .X1.X 2 X 0 .X1 Y0 Y1 X0 X1 X2 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 210 S BÀI GI NG MÔN : 8.2.1 SPLD-PLA ĐI N T Đ u vào Điểm k t n i kh trình Điểm k t n i kh trình Đ u ra Hình 8.4 ậ Kiến trúc PLA www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 211 S ĐI N T BÀI GI NG MÔN : 8.2.1 SPLD-PLA C u chì còn C u chì đứt X0 X1 X2 Y0 Y1 Y2 Y3 Y0  X 2 .X1.X 0  X 2 .X1.X0  X 2 .X1.X0 Y1  X 2 .X1.X 0  X 2 .X1.X0  X 2 .X1.X0 www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 212 S 8.2.2 CPLD (Complex PLD) BÀI GI NG MÔN : ĐI N T Hình 8.5 – Kiến trúc chung của CPLD www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 213 S BÀI GI NG MÔN : 8.2.3 FPGA Logic Block Logic Block Logic Block Logic Block Logic Block Logic Block Logic Block Logic Block Logic Block Logic Block Logic Block Logic Block Logic Block Logic Block Logic Block Logic Block ĐI N T IO blocks Interconnects Hình 8.6 - Kiến trúc chung của FPGA www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 214 S BÀI GI NG MÔN : 8.3 GI I THI U PH ĐI N T S NG PHÁP THI T L P C U HÌNH CHO CPLD/FPGA  8.3.1. Phương pháp dùng sơ đồ mô tả  Bước 1: Chọn th vi n c u ki n và công c mô t thi t k . Sau đó, chọn các c ng c n cho thi t k t th vi n, có thể k t hợp tuỳ ý các c ng v i nhau. b c này, ph i l a chọn họ c u ki n s s d ng, nh ng ch a ph i quy t định s d ng c u ki n c thể nào trong họ để đáp ng các yêu c u v t c đ và kích th c.  Bước 2: Th c hi n k t n i các c ng v i nhau, s d ng l i hoặc dây n i. Ng thi t k có thể đi u chỉnh k t n i gi a các c ng tuỳ ý theo m c đích thi t k . i  Bước 3: G n thêm và phân b các b đ m đ u vào và đ u ra. Các b đ m này s xác định các chân I/O cho thi t bị.  Bước 4: B c cu i là t o ra netlist. Netlist là file mô t m ch s d i d ng text, đ ợc t o b i công c thi t k . B n mô t thi t k giúp các ch ng trình khác n m đ ợc các c ng logic có trong m ch, cách k t n i các c ng đó, và s các chân I/O. Chu n để vi t file netlist ph bi n nh t là d ng EDIF (Electronic Digital Interchange Format). www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 215 BÀI GI NG MÔN : 8.3 GI I THI U PH  8.3.2. Ph ĐI N T S NG PHÁP THI T L P C U HÌNH CHO CPLD/FPGA ng pháp dùng ngôn ng mô t ph n c ng (HDL)  Bước 1: Dùng ngôn ng mô t ph n c ng (HDL) để mô t các tính năng và ho t đ ng c a t ng ph n trong h th ng. Đ ng th i có thể dùng ngôn ng mô t ph n c ng HDL mô t k t n i gi a các ph n trong m t h th ng. Đ u ra c a quá trình này là m t file d ng text.  Bước 2: Dùng công c synthesis (t ng hợp) để t o ra file netlist t file trên. Công c synthesis xác định các c ng đ ợc s d ng d a trên mô hình ho t đ ng (trong ph ng pháp thi t k truy n th ng, ng i thi t k ph i th c hi n thao tác này). Vì netlist đặc tr ng cho họ thi t bị và nhà s n xu t, nên ph i s d ng th vi n c a nhà s n xu t t ng ng. H u h t các công c thi t k đ u cung c p tên các nhà s n xu t m ng c ng, FPGA và CPLD. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 216 BÀI GI NG MÔN : 8.4 L U Đ ĐI N T THI T K CHO CPLD/FPGA  8.4.1 Lưu đồ thiết kế cho CPLD Design Entry Schematic ECS HDL Verilog/VHDLL State Machines StateCad Design Verification Functional Simulation (ISE Simulator, ModelSim) Design Synthesis Xilinx Synthesis Tool (XST) Design Implementation Translate Map Place and Route Timing Simulation Static Timing Analysis (ECS) ModelSim XE Configuration Download (iMPACTE) Hình 8.7- Lưu đồ thiết kế CPLD www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 217 S BÀI GI NG MÔN : 8.4 L U Đ ĐI N T THI T K CHO CPLD/FPGA  8.4.2 Lưu đồ thiết kế cho FPGA Configuration Create Bit file Download (iMPACTE) Hình 8.9 - Lưu đồ thiết kế FPGA www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 218 S BÀI GI NG MÔN : N i dung  Ch ng 1: H đ m Ch ng 2: Đ i s Boole và các ph Ch ng 3: C ng logic TTL và CMOS Ch ng 4: M ch logic t hợp Ch ng 5: M ch logic tu n t Ch ng 6: M ch phát xung và t o d ng xung Ch ng 7: B nh bán d n. Ch ng 8: C u ki n logic kh trình (PLD)  Ch ng pháp biểu di n hàm ng 9: Ngôn ng mô t ph n c ng (VHDL) www.ptit.edu.vn V1.0 ĐI N T B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 219 S BÀI GI NG MÔN :  CH  NGÔN NG www.ptit.edu.vn V1.0 B ĐI N T NG 9. MÔ T PH N C NGVHDL GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 220 S 9.1 C U TRÚC NGÔN NG C A VHDL BÀI GI NG MÔN : ĐI N T S  C u trúc ngôn ng c b n c a VHDL g m:  Đ i t ợng: Quy định các d ng tín hi u c định, tín hi u, c ng vào - ra, hay tín hi u đ m ầ  Các kiểu d li u: Quy định các kiểu d li u có thể đ ợc dùng để gán cho m i đ i t ợng.  Các phép toán: Quy định các phép toán s d ng cho m i lo i d li u.  Các đ n vị thi t k : Các thành ph n c b n c u trúc lên m t ch mô t dùng VHDL. ng trình mã  Các c u trúc l nh tu n t : C u trúc câu l nh th c hi n theo ti n trình tu n t , th ng dùng mô t các c u trúc m ch tu n t c a m ch s .  Các c u trúc l nh song song: C u trúc câu l nh th c hi n song song, th dùng mô t các c u trúc m ch t hợp. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 221 ng BÀI GI NG MÔN : ĐI N T S 9.1.1 Đ i t ợng trong VHDL  Trong ngôn ng VHDL g m có 4 đ i t ợng là: tín hi u - signal, bi n - variable, hằng - constant, tham s chung ậ generic. Signal tên_tín_hiệu {,tên_tín_hiệu}:kiểu_dữ_liệu [:=giá_trị_khởi_tạo]; Ví dụ: Signal a,b,c: Bit:=‘1‘; -- Giá trị khởi tạo là ‗1‘; Signal y, reg: std_logic_vector(3 downto 0):=‖0000‖; variable tên_biến {,tên_biến}: kiểu_dữ_liệu [:=giá_trị_khởi_tạo]; Ví dụ: variable x : Bit:=‘1‘; variable Q: std_logic_vector(3 downto 0); constant tên_hằng {,tên_hằng}: kiểu_dữ_liệu :=giá_trị_khởi_tạo; Ví dụ: constant GND : std_logic:=‘0‘; constant PI: real:=3.1414; constant datamemory : memory := (('0','0','0','0'), ('0','0','0','1'), ('0','0','1','1')); www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 222 9.1.1 Đ i t ợng trong VHDL BÀI GI NG MÔN : ĐI N T S  Tóm l i: Các đ i t ợng trong VHDL có m c đích s d ng, ph m vi s d ng khác nhau, nh ng chúng có cú pháp khai báo chung nh sau: Đ i_t ợng tên_đ i_t ợng : kiểu_d _li u {:=giá_trị_kh i_t o} www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 223 9.1.2 Kiểu d li u trong VHDL BÀI GI NG MÔN : ĐI N T S  Vô h ng : Bit, boolean, integer, real, physical, character, std_logic và std_ulogic, enumerated (kiểu li t kê)...  Kiểu ghép: M ng, b ng ghi (record). Bit_logic_vector, std_logic_vector và String đ u là nh ng d ng d li u ghép đƣ đ ợc định nghĩa sẵn.  M ng hai chi u (2-D Arrays): các d li u có d ng m ng 2 chi u, đ ợc t o nên t 1 m ng c a m t m ng 1 chi u ( hay m t b n ghi).  Kiểu d li u con (Subtypes): t p d li u con c a m t d li u đƣ có sẵn, đ ợc ng i dùng t định nghĩa d a trên nh ng d ng có sẵn.  Các kiểu d li u đƣ đ ợc định nghĩa trong gói d li u chu n trong th vi n chu n Standard Library c a VHDL là: bit, boolean, integer, real, physical, character, std_logic and std_ulogic, Bit_logic_vector, std_logic_vector, và String và m t s kiểu d li u con. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 224 9.1.2 Kiểu d li u trong VHDL BÀI GI NG MÔN : ĐI N T  Cú pháp chung định nghĩa kiểu d li u trong VHDL nh sau:  Type Tên_kiểu is gi i_h n_giá_trị_c a_kiểu www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 225 S BÀI GI NG MÔN : ĐI N T 9.1.3 Các phép toán trong VHDL  a. Toán t logic  Toán t logic g m có: and, or, nand, nor, xor, not, xnor đ ợc s d ng cho các d ng d li u lƠ bit, boolean, bit_vector, std_logic_vector  b. Toán t quan h  Toán t quan h đ ợc s d ng cho h u h t các d ng d li u, t t c các toán t quan h đ u cho giá trị tr v d i d ng boolean.  Toán t quan h g m có: =, /=, <, <=, >, >=. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 226 S BÀI GI NG MÔN : ĐI N T S 9.1.3 Các phép toán trong VHDL  c. Toán t s học  Toán t s học đ ợc s d ng cho kiểu d li u Integer, Real, Signed, Unsigned, các d ng d li u v t lỦ, Std_logic, Std_logic_vector, Bit, Bit_vector. C n chú Ủ rằng không ph i t t c toán t s học đ u có thể s d ng cho kiểu d li u m ng.  Các toán t s học lƠ: +, -, *, /, abs (trị tuy t đ i), ** (hƠm mũ). www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 227 BÀI GI NG MÔN : ĐI N T S 9.1.3 Các phép toán trong VHDL  d. Toán t dịch:  Toán t dịch là toán t tác đ ng lên toán h ng kiểu Bit_vector để t o ra các phép dịch hoặc quay d li u. Cú pháp c a toán t dịch:  Toán_h ng_trái Toán_T _dịch Toán h ng ph i;  Trong đó: <Toán_h ng_trái> ph i là kiểu Bit_vector s đ ợc dịch hoặc quay d li u, <Toán_h ng_ph i> xác định s vị trí đ ợc dịch hoặc quay và ph i có kiểu s nguyên mang giá trị d ng hoặc âm, n u là giá trị âm s chỉ ra h ng ng ợc l i v i giá trị d ng . M i phép dịch cho k t q a cùng d ng và kích th c v i toán h ng ban đ u.  Các toán t dịch trong VHDL là: sll (dịch trái logic), srl (dịch ph i logic), sla (dịch trái s học), sra (dịch ph i s học), rol (quay trái), ror (quay ph i). www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 228 BÀI GI NG MÔN : ĐI N T S 9.1.3 Các phép toán trong VHDL B ng tổng kết các phép toán trong VHDL nh sau: Phép toán Phép gán Phép toán logic Phép toán số học Toán t <=, :=, => NOT, AND, NAND, Ki u dữ li u Bất kỳ kiểu dữ liệu nào BIT, BIT_VECTOR, OR, NOR, XOR, XNOR STD_LOGIC, STD_LOGIC_VECTOR, +, - ,*,/,** (mod, rem, abs)- chỉ dùng cho mô phỏng =, /=, <, >, <=, >= sll, srl, sla, sra, rol, ror &, ( , , , ) Phép quan hệ Phép dịch Phép gộp STD_ULOGIC, STD_ULOGIC_VECTOR INTEGER, SIGNED, UNSIGNED Tất cả các kiểu dữ liệu ở trên BIT_VECTOR BIT, BIT_VECTOR, STD_LOGIC, STD_LOGIC_VECTOR, STD_ULOGIC, STD_ULOGIC_VECTOR www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 229 BÀI GI NG MÔN : 9.1.4 Các đ n vị thi t k trong VHDL: ĐI N T S  VDHL s d ng 6 đ n vị thi t k g m 2 lo i: đ n vị c b n vƠ đ n vị thi t k th c p:  - Đơn vị thiết kế cơ bản:  Library: Cho phép t o th vi n trong VHDL  Package: T o các gói gi li u trong Library, nh các khai báo các đ i t ợng, khai báo th t c, hàm...  Entity: (Th c thể) - cho phép khai báo các giao di n c a m t kh i thi t k s nƠo đó: nh khai báo các c ng vƠo/ra, các tham s c a kh i m ch...  - Đơn vị thiết kế thứ cấp (Ph thu c vƠo m t đ n vị thi t k c b n):  Architecture: Mô t ho t đ ng bên trong c a m t Entity hay đơy chính lƠ ph n mô t ho t đ ng c a kh i m ch s .  Package Body: Mô t chỉ ti t cho các khai báo trong Package nh vi t các hƠm, các th t c ...  Configuration: Đ n vị thi t k c u hình cho phép g n các phiên b n c a th c thể vƠo nh ng ki n trúc khác nhau. C u hình cũng có thể đ ợc s d ng để thay th m t cách nhanh chóng các ph n t c a th c thể trong các biểu di n c u trúc c a thi t k . www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 230 BÀI GI NG MÔN : 9.1.4 Các đ n vị thi t k trong VHDL: ĐI N T  a. Entity - (Th c thể) : entity Tên_thực_thể is generic(--Khai báo danh sách các tham số chung Tên_tham_số : [Kiểu_dữ_liệu] [:=giá_trị_khởi_tạo]; ... ); port(-- Khai báo danh sách đối tượng các port vào ra Tên_cổng : [mode] [Kiểu_dữ_liệu] [:=giá_trị_khởi_tạo]; ... ); end Tên_thực_thể; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 231 S BÀI GI NG MÔN : 9.1.4 Các đ n vị thi t k trong VHDL: ĐI N T  b. Architecture ậ (Ki n trúc) Architecture Tên_kiến_trúc of Tên_thực_thể is -- Thực hiện các khai báo cho kiến trúc ... Begin -- Viết các mô tả hoạt động bên trong cho thực thể ... End Tên_kiến_trúc; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 232 S BÀI GI NG MÔN : 9.1.4 Các đ n vị thi t k trong VHDL: ĐI N T S  Package ( gói d li u) lƠ đ n vị thi t k c b n dùng để ch a nh ng khai báo cho các đ i t ợng, khai báo th t c procedure, hƠm function, kiểu d li u, component có thể dùng chung cho nh ng thi t k , c u trúc, d án khác nhau ầ  Package Body lƠ đ n vị thi t k ph thu c đ ợc dùng để ch a nh ng mô t chỉ ti t cho các khai báo trong đ n vị thi t k Package nƠo đó, mô t chi ti t n i dung c a các hƠm, các th t c ... Package Body th ng đ ợc vi t ngay sau Package. Cú pháp chung các đ n vị thi t k Package và Package Body package My_Pack is constant. . . function bv_to_integer (BV: bit_v.. return integer -- Cách sử dụng package trong file mô tả VHDL. library IEEE; -- Thư việc chuẩn component . . . subtype. . . use IEEE.std_logic_1164.all; end package My_pack; -- Trong phần mềm thiết kế ISE gói dữ liệu do người sử dụng tạo ra thường được tổ chức mặc định trong thư viện ―work‖ package body My_Pack is function bv_to_integer (BV: bit_v.. return integer is variable ... begin . . . use work.My_Pack.all; for index in BV'range loop . . . . end function; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 233 9.1.5 C u trúc chung c a m t ch ĐI N T S ng trình mô t VHDL BÀI GI NG MÔN : Ph n c ng công ngh logic s (CPLD/FPGA) entity architecture process Variables Signals Input Ports Ports Ports Output Hình 9.2. Cấu trúc mô tả phần cứng và các đối tượng trong VHDL www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 234 9.1.5 C u trúc chung c a m t ch ĐI N T S ng trình mô t VHDL BÀI GI NG MÔN : -- Ví dụ cấu trúc 1 file mô tả cho một hệ thống phần cứng số dùng VHDL -- Khai báo thư viện,(mặc định cần khai báo thư viện IEEE (thư viện -- chuẩn đã được xây dựng). library IEEE;... -- Khai báo gói dữ liệu (package) trong thư viện cần sử dụng: use IEEE.STD_LOGIC_1164.ALL;... -- Khai báo thực thể Entity Tên_thực_thể is -- Khai báo các tham số generic nếu cần: Generic( -- khai báo danh sách các tham số); Port(-- Khai báo danh sách các cổng vào/ra ); End Tên_thực_thể; -- Bắt đầu viết Architecture Tên_kiến_trúc of Tên_thực_thể is {Khai báo:kiểu dữ liệu, các component,các đối tượng constant, signal} Begin { Viết các mô tả dùng cấu trúc lệnh song song } ... Process(-- danh sách tín hiệu kích thích nếu cần) {Khai báo:kiểu dữ liệu, các đối tượng biến constant, variable } Begin { Viết các mô tả dùng cấu trúc lệnh tuần tự } End process; ... { Viết các mô tả dùng cấu trúc lệnh song song hay process khác } ... End Tên_kiến_trúc; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 235 BÀI GI NG MÔN : 9.1.6 Các c u trúc l nh song song ĐI N T  Các c u trúc l nh song song có trong VHDL g m:  + C u trúc process.  + L nh gán tín hi u song song.  + L nh gán có đi u ki n.  + L nh gán tín hi u có l a chọn.  + Kh i.  + Phép gọi th t c, hƠm song song. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S BÀI GI NG MÔN : 9.1.6 Các c u trúc l nh song song ĐI N T [Nhãn] Process [(Danh sách tín hiệu kích thích)] [ Khai báo:kiểu dữ liệu, các đối tượng biến constant, variable ] Begin { Viết các mô tả dùng cấu trúc lệnh tuần tự } End process; entity Logic_AND is Port ( A,B : in std_logic; C : out std_logic); end Logic_AND; A architecture Behavioral of Logic_AND is B C begin Process(A,B) begin C<= A and B; end Process; end Behavioral; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S BÀI GI NG MÔN : 9.1.6 Các c u trúc l nh song song ĐI N T S  b. Các phép gán tín hi u song song  Phép gán tín hi u song song s d ng bên trong các Architecture nh ng bên ngoƠi Process. D ng đ n gi n nh t c a phép gán tín hi u song song có cú pháp nh sau:  <tín_hi u_đích> <= <biểu_th c> [after <biểu_th c_th i_gian>]; ... architecture Behavioral of logic1 is signal I1, I2, I3, I4, AND_out, OR_out: std_logic; begin ... AND_out<= I1 and I2 and I3 and I4; OR_out<= I1 or I2 or I3 or I4; ... end Behavioral; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 BÀI GI NG MÔN : 9.1.6 Các c u trúc l nh song song ĐI N T  c. Phép gán tín hi u có đi u ki n S  Phép gán tín hi u có đi u ki n lƠ c u trúc l ch song song th c hi n phép gán giá trị c a các biểu th c cho m t tín hi u đích tùy theo các đi u ki n đặt ra. Cú pháp chung:  <tín_hi u_đích> <= <biểu_th c>[after <biểu_th c_th i_gian>] when      <đi u_ki n> else <biểu_th c>[after <biểu_th c_th i_gian>] when <đi u_ki n> else ... <biểu_th c>[after <biểu_th c_th i_gian>]; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 BÀI GI NG MÔN : 9.1.6 Các c u trúc l nh song song c. Phép gán tín hi u có điều ki n-ví dụ architecture ... begin Z <= A when Sel=―00‖ else B when Sel=―10‖ else C when Sel=―11‖ else ‗X‘ ; end architecture; A B Z ‗X‘ C architecture ... begin process(A,B,C, SEL ) begin case (SEL) is when ―00‖ =>Z <= when ―10‖ =>Z <= when ―11‖ =>Z <= when others=>Z<= end case; end process; end architecture ; A; B; C; ‗X‘; Sel www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 ĐI N T S BÀI GI NG MÔN : 9.1.6 Các c u trúc l nh song song ĐI N T S  d. Phép gán tín hi u theo l a chọn  With <biểu_th c_l a_chọn> select   <tín_hi u_đích> <= <biểu_th c> [after <biểu_th c_th i_gian>] when <giá_trị_l a _chọn>,   <giá_trị_l a _chọn>, ...  <biểu_th c> [after <biểu_th c_th i_gian>]  www.ptit.edu.vn V1.0 <biểu_th c> [after <biểu_th c_th i_gian>] when when others; B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.1.7 C u trúc l nh tu n t BÀI GI NG MÔN : ĐI N T  Các c u trúc l nh tu n t c b n trong VHDL g m:  + Cơu l nh gán cho bi n.  + Cơu l nh gán cho tín hi u.  + Cơu l nh if.  + Cơu l nh case.  + Cơu l nh r ng Null.  + Các l nh lặp. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S 9.1.7 C u trúc l nh tu n t BÀI GI NG MÔN :  a. Phép gán bi n  Cú pháp của phép gán biến nh sau:  biến := bi u_thức  b. Phép gán tín hi u  Cú pháp của phép gán biến nh sau:  Tí  n_hi u_đích<= bi u_thức [after giá_tr _th i_gian]; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 ĐI N T S 9.1.7 C u trúc l nh tu n t BÀI GI NG MÔN :  c. L nh if ĐI N T S  L nh nƠy cho phép các phép toán đ ợc th c hi n trên m t đi u ki n nƠo đó. Có ba d ng c b n lƠ:   + D ng 1: if (Đi u_ki n) then <Các_cơu_l nh_tu n_t >;    end if; + D ng 2:  if (Đi u_ki n) thenCác_cơu_l nh_tu n_t >; else   www.ptit.edu.vn V1.0 <Các_cơu_l nh_tu n_t >; end if; B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.1.7 C u trúc l nh tu n t BÀI GI NG MÔN : ĐI N T S  + D ng 3:  if (Đi u_ki n_1) then <Các_cơu_l nh_tu n_t >;  elsif (Đi u_ki n_2) then <Các_cơu_l nh_tu n_t >;  elsif (Đi u_ki n_3) then  else  <Các_cơu_l nh_tu n_t >;  end if; www.ptit.edu.vn V1.0 <Các_cơu_l nh_tu n_t >; B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 ĐI N T BÀI GI NG MÔN : 9.1.7 C u trúc l nh tu n t  Ví d process (A, B, C, D, Sel) begin If Z elsif Z elsif Z elsif (Sel <= A (Sel <= B (Sel <= C (Sel <= D Z end if; end process ; = ; = ; = ; = ; ―00‖) then ―01‖) then ―10‖) then ―11‖) V1.0 D C then Z C D Sel B -- ↑ i mô t trên c u trúc bên trong của m ch ghép kênh 4 đ u vƠo tổng hợp đ ợc thực sự đ ợc xơy dựng t 3 m ch ghép kênh 2 đ u vào. www.ptit.edu.vn A B B A GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Z S 9.1.7 C u trúc l nh tu n t BÀI GI NG MÔN :  d. L nh case: ĐI N T S  L nh case đ ợc s d ng trong tr ng hợp có m t biểu th c để kiểm soát nhi u r nhánh trong ch ng trình VHDL. Các l nh t ng ng v i m t trong các l a chọn s đ ợc th c hi u n u biểu th c kiểm soát có giá trị bằng giá trị t ng ng c a l a chọn đó. Có hai d ng c b n:  D ng 1:  Case (biểu_th c_kiểm_soát) is  When <giá_trị_l a_chọn> =>  When <giá_trị_l a_chọn> =>  <Các_cơu_l nh_tu n_t >; <Các_cơu_l nh_tu n_t >; ...  end case; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.1.7 C u trúc l nh tu n t BÀI GI NG MÔN :  D ng 2:  Case (selector expression) is  When <giá_trị_l a_chọn> =>  <Các_cơu_l nh_tu n_t >;  When <giá_trị_l a_chọn> =>  <Các_cơu_l nh_tu n_t >;  ...  When others =>  <Các_cơu_l nh_tu n_t >;  www.ptit.edu.vn V1.0 end case; B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 ĐI N T S 9.1.7 C u trúc l nh tu n t BÀI GI NG MÔN : ĐI N T S  e. Cơu l nh r ng Null  Cơu l nh r ng có cú pháp nh sau: Null;  Trong VDHL khi ch ng trình mô ph ng gặp cơu l nh Null nó s b qua l nh nƠy vƠ th c hi n l nh ti p theo sau. Thông th ng l nh Null dùng để chỉ tr ng hợp không th c hi n c a l nh m t cách t ng minh khi có các đi u ki n tr l i giá trị true. Do đó l nh Null th ng đ ợc dùng trong các cơu l nh case đ i v i nh ng giá trị l a chọn không c n thao tác. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.1.7 C u trúc l nh tu n t BÀI GI NG MÔN : ĐI N T Ví d process begin (A, B, C, D, Sel ) A case Sel is when ―00‖ => Z <= A ; when ―01‖ => Z <= B ; when ―10‖ => Z <= C ; when others => Null; V1.0 C Sel end case ; end process ; www.ptit.edu.vn Z B B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S 9.1.7 C u trúc l nh tu n t BÀI GI NG MÔN :  f. Các l nh lặp ĐI N T S  L nh lặp loop ch a thơn vòng lặp bao g m dƣy các cơu l nh s đ ợc th c hi n nhi u l n.  Cú pháp c a l nh lặp nh sau: [<nhƣn>:] [<s _đ _lặp>] loop {<l nh_tu n_t >}| {next [<nhƣn>] [when <đi u_ki n>];}| {exit [<nhƣn>] [when <đi u_ki n>];} end loop [nhãn]; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.1.7 C u trúc l nh tu n t BÀI GI NG MÔN : ĐI N T Ví d process begin (A, B, C, D, Sel ) case Sel is when ―00‖ when ―01‖ when ―10‖ when ―11‖ => => => => Z Z Z Z V1.0 A B C D ; ; ; ; Z C D Sel end case ; end process ; www.ptit.edu.vn <= <= <= <= A B B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S 9.1.8 HƠm vƠ th t c BÀI GI NG MÔN : ĐI N T  a. Hàm ậ FUNCTION -- Khai báo FUNCTION Tên_hƠm [(danh_sách_bi n)] RETURN kiểu_d _li u; -- Function Body (Mô t hƠm) FUNCTION Tên_hƠm [(danh_sách_bi n)] RETURN kiểu_d _li u IS -- Khai báo CONSTANT, VARIABLE n u có BEGIN (Vi t mô t hƠm dùng c u trúc L nh tu n t ) END Tên_hàm; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S BÀI GI NG MÔN : 9.1.8 HƠm vƠ th t c  Ví d hƠm xác định s nd  ------ Function body ------- ĐI N T ng c a tín hi u clk nh sau:  FUNCTION positive_edge(SIGNAL s: STD_LOGIC) RETURN BOOLEAN IS  BEGIN  RETURN (s'EVENT AND s='1');  END positive_edge; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S 9.1.8 HƠm vƠ th t c BÀI GI NG MÔN : ĐI N T S  b. Th t c ậ PROCEDURE  V c b n cú pháp, cách gọi, vị trí c a th t c - PROCEDURE t hàm ậ FUNCTION, nh ng th t c không đ ợc tr v giá trị. ng t nh  Cú pháp để khai báo và mô t th t c (ph n PROCEDURE BODY) nh sau: -- Khai báo PROCEDURE Tên_th _t c [(danh_sách_bi n)]; -- PROCEDURE Body (Mô t hàm) PROCEDURE Tên_hàm [(danh_sách_bi n)] IS -- Khai báo CONSTANT, VARIABLE n u có BEGIN (Vi t mô t th t c dùng c u trúc L nh tu n t ) END Tên_th _t c; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2 PH BÀI GI NG MÔN : NG PHÁP MÔ T H TH NG PH N C NG S  9.2.1 Ph ĐI N T ng pháp mô t theo mô hình c u trúc logic S  Tr c khi đ ợc s d ng trong ki n trúc c a c h th ng, các thƠnh ph n đƣ đ ợc xơy d ng (gọi t t lƠ các component) ph i đ ợc khai báo m t cách t ng minh theo cú pháp sau: Component <tên_thƠnh_ph n> Port(<khai_báo_danh_sách_các_c ng_c c_b ;>) -- T ng t nh khai báo trong th c thể End component; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2.1 Ph ĐI N T ng pháp mô t theo mô hình c u trúc logic BÀI GI NG MÔN :  Mô t triger DFF nh sau: entity DFF is port ( D, Clock : in std_logic ; Reset : in std_logic ; Q : out std_logic) ; end entity DFF ; architecture RTL of DFF is begin process (Clock, Reset) begin If (Reset = „1‟ ) then Q <= „0‟ ; elsif (Clock‟event and Clock = „1‟) then Q <= D ; end if; end process ;  Đơy lƠ triger D có Reset m c tích c c cao, vƠ không đ ng b . www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 S 9.1.2 Ph ĐI N T S ng pháp mô t theo mô hình hƠnh vi (Behavioral) BÀI GI NG MÔN :  Đơy lƠ m c đ mô t tr u t ợng nh t, ch y u lƠ mô t theo ch c năng c a h th ng s theo yêu c u đ u vƠo vƠ đáp ng ra s d ng các c u trúc l nh gi ng nh c a ngôn ng l p trình b c cao nh PROCESS , WAIT, IF, CASE, FORLOOPầ  Mô t theo cách nƠy tính ng nghĩa t nhiên vƠ gi i thu t r t cao, nh p thi t k r t nhanh, nh ng c u trúc c a ph n c ng th ng không rõ. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2.3 Ph ĐI N T S ng pháp mô t theo mô hình lu ng d li u RTL BÀI GI NG MÔN :  H th ng s đ ợc biểu di n theo mô hình RTL khi chúng đ ợc xác định b i 3 thƠnh ph n nh sau:  - T p các thanh ghi trong h thống (Các khối m ch nh , m ch tu n tự).  - Các phép toán đ ợc thực hi n trên dữ li u đ ợc l u trong các thanh ghi đ ợc xơy dựng nh các m ch logic tổ hợp.  - Những điều khi n đ giám sát chu i tu n tự các phép toán trong h thống (th ng đ ợc xơy dựng trên mô hình máy tr ng thái). www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2.3 Ph ĐI N T S ng pháp mô t theo mô hình lu ng d li u RTL BÀI GI NG MÔN :  a. Mô t m ch logic t hợp  M ch logic t hợp có thể mô t bằng các c u trúc l nh song, tuy nhiên th ng dùng các process t hợp. Khi s d ng process t hợp tất cả các tín hiệu vào c a m ch t hợp ph i đ ợc đ a vƠo danh sách tín hi u kích thích. A Z B D En process(A,B) begin Z <= A or B ; end process; Q process (D, En) begin -- gán mặc định đầu ra Q <= 0; if En = ‗1‘ then Q <= D ; end if ; end process; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2.3 Ph ĐI N T S ng pháp mô t theo mô hình lu ng d li u RTL BÀI GI NG MÔN :  b. Mô t m ch tu n t :  Các kh i thanh ghi có thể đ ợc mô t bằng ti n trình ho t đ ng theo clock theo 2 kiểu:  Ti n trình đ ng b , v i danh sách tín hi u kích thích chỉ có duy nh t tín hi u clock, mọi bi n đ i c a m ch đ ợc đ ng b theo s n clock)  Hoặc ti n trình không đ ng b , v i danh sách tín hi u kích thích không chỉ có tín hi u clk mƠ còn có các tín hi u không đ ng b khác. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2.3 Ph ĐI N T S ng pháp mô t theo mô hình lu ng d li u RTL BÀI GI NG MÔN : ↑í dụ mô t ho t đ ng của Triger D lƠm vi c theo s đồng b nh sau: nd ng v i các tín hi u Reset không process ( Clk, Reset ) begin reset = ‗1‘ then Q <= ‗0‘ ; elsif (Clk`event and Q <= D ; end if ; end process ; D if www.ptit.edu.vn V1.0 B Q Clk = ‗1‘) then Clk GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Reset 9.2.4 Ph BÀI GI NG MÔN : ĐI N T S ng pháp mô t theo mô hình đ hình tr ng thái (máy tr ng thái - State Machine) Mô hình Moore: Kết qu đ u ra chỉ phụ thu c vƠo tr ng thái hi n t i. Đ u vào Xác định Tr ng thái ti p theo Thanh ghi tr ng thái hi n t i Xác định Đ u ra Clock Hình 9.6 – Mô hình máy trạng thái Moore. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Đ u ra 9.2.4 Ph BÀI GI NG MÔN : ĐI N T S ng pháp mô t theo mô hình đ hình tr ng thái (máy tr ng thái - State Machine) - Mô hình Mealy: Đ u ra phụ thu c vƠo c tr ng thái hi n t i vƠ tín hi u vƠo. Đầu vào Xác định Tr ng thái ti p theo Thanh ghi tr ng thái hi n t i Xác định Đ u ra Clock Hình 9.7 – Mô hình máy trạng thái Mealy. www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Đ u ra 9.2.4 Ph BÀI GI NG MÔN : ĐI N T S ng pháp mô t theo mô hình đ hình tr ng thái (máy tr ng thái - State Machine) Trong thực tế h thống số th ng đ ợc mô t bằng vi c kết hợp c mô hình Moore vƠ Mealy vƠ s dụng thêm thanh ghi đ u ra: Thanh ghi đ u ra Đ u vào Xác định Tr ng thái ti p theo Thanh ghi tr ng thái hi n t i Xác định Đ u ra Clock V1.0 B Moore Moore Mealy Thanh ghi đ u ra Hình 9.8 ậ Mô hình máy tr ng thái h n hợp Moore vƠ Mealy www.ptit.edu.vn Đầu ra GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 Mealy 9.2.4 Ph BÀI GI NG MÔN : ĐI N T S ng pháp mô t theo mô hình đ hình tr ng thái (máy tr ng thái - State Machine) Ví d : b đếm th p phơn thu n ngh ch đồng b “UpdownCounter” có mô hình vẽ sau: UP Z RESET UpdownCounter CLK Hình 9.10 – Mô hình bộ đếm thuận nghịch www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2.4 Ph BÀI GI NG MÔN : ĐI N T S ng pháp mô t theo mô hình đ hình tr ng thái (máy tr ng thái - State Machine) UP='0' S0 RESET if UP='0' then Z='1' else Z='0' UP='0' S1 S2 Z='0' Z='0' UP='1' UP='1' UP='0' UP='1' UP='1' UP='0' S3 S9 Z='0' if UP='0' then Z='0' else Z='1' UP='1' UP='0' UP='1' UP='0' S4 S8 Z='0' Z='0' UP='0' UP='1' UP='1' UP='1' UP='0' UP='1' S7 S6 S5 Z='0' Z='0' Z='0' UP='0' UP='0' Hình 9.11 – Đồ hình trạng thái của bộ đếm thập phân thuận nghịch www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2.4 Ph BÀI GI NG MÔN : ĐI N T S ng pháp mô t theo mô hình đ hình tr ng thái (máy tr ng thái - State Machine) LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY FSM IS PORT (CLK,RESET,UP: IN std_logic; Z : OUT std_logic); END; ARCHITECTURE BEHAVIOR OF FSM IS SIGNAL sreg : std_logic_vector (3 DOWNTO 0); SIGNAL next_sreg : std_logic_vector (3 DOWNTO 0); CONSTANT S0 : std_logic_vector (3 DOWNTO 0) :="0000"; CONSTANT S1 : std_logic_vector (3 DOWNTO 0) :="0001"; CONSTANT S2 : std_logic_vector (3 DOWNTO 0) :="0010"; CONSTANT S3 : std_logic_vector (3 DOWNTO 0) :="0011"; CONSTANT S4 : std_logic_vector (3 DOWNTO 0) :="0100"; CONSTANT S5 : std_logic_vector (3 DOWNTO 0) :="0101"; CONSTANT S6 : std_logic_vector (3 DOWNTO 0) :="0110"; CONSTANT S7 : std_logic_vector (3 DOWNTO 0) :="0111"; CONSTANT S8 : std_logic_vector (3 DOWNTO 0) :="1000"; CONSTANT S9 : std_logic_vector (3 DOWNTO 0) :="1001"; SIGNAL next_Z : std_logic; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2.4 Ph BÀI GI NG MÔN : ĐI N T S ng pháp mô t theo mô hình đ hình tr ng thái (máy tr ng thái - State Machine) BEGIN Sync: PROCESS (CLK) –- Cập nhật trạng thái mới của bộ đếm BEGIN IF CLK='1' AND CLK'event THEN if RESET='1' then sreg<= S0; else sreg <= next_sreg; end if; END IF; END PROCESS; Comb: PROCESS (sreg,UP) –- Kiểm tra điều kiện chuyển trạng thái www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2.4 Ph BÀI GI NG MÔN : ĐI N T S ng pháp mô t theo mô hình đ hình tr ng thái (máy tr ng thái - State Machine) BEGIN CASE sreg IS WHEN S0 => IF ( UP='0' ) THEN ELSE next_sreg<=S9; next_sreg<=S1; END IF; WHEN S1 => IF ( UP='0' ) THEN ELSE next_sreg<=S0; next_sreg<=S2; END IF; WHEN S2 => IF ( UP='0' ) THEN ELSE next_sreg<=S1; next_sreg<=S3; END IF; WHEN S3 => IF ( UP='0' ) THEN ELSE next_sreg<=S2; next_sreg<=S4; END IF; WHEN S4 => IF ( UP='0' ) THEN ELSE next_sreg<=S3; next_sreg<=S5; END IF; WHEN S5 => IF ( UP='0' ) THEN ELSE next_sreg<=S4; next_sreg<=S6; END IF; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2.4 Ph BÀI GI NG MÔN : ĐI N T S ng pháp mô t theo mô hình đ hình tr ng thái (máy tr ng thái - State Machine) WHEN S6 => IF ( UP='0' ) THEN ELSE next_sreg<=S5; next_sreg<=S7; END IF; WHEN S7 => IF ( UP='0' ) THEN ELSE next_sreg<=S6; next_sreg<=S8; END IF; WHEN S8 => IF ( UP='0' ) THEN ELSE next_sreg<=S7; next_sreg<=S9; END IF; WHEN S9 => IF ( UP='0' ) THEN ELSE next_sreg<=S8; next_sreg<=S0; END IF; WHEN OTHERS => next_sreg<=S0; END CASE; END PROCESS; Outputs: PROCESS (sreg,UP) —-Tính kết quả đầu ra www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 9.2.4 Ph BÀI GI NG MÔN : ĐI N T S ng pháp mô t theo mô hình đ hình tr ng thái (máy tr ng thái - State Machine) BEGIN IF UP='1' THEN if sreg=S9 then Z<= '1'; else Z<= '0'; end if; ELSE if sreg=S0 then Z<= '1'; else Z<= '0'; end if; END IF; END PROCESS; END BEHAVIOR; www.ptit.edu.vn V1.0 B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 TƠi li u tham kh o            ĐI N T S Giáo trình Điện tử số - Tr n Thúy HƠ-Đ M nh HƠ, HVCNBCVT 2009. Giáo trình Kỹ thuật số - Tr n Văn Minh, NXB B u đi n 2002. Cơ sở kỹ thuật điện tử số, Đ i học Thanh Hoa, B c Kinh, NXB Giáo d c 1996. Kỹ thuật số, Nguy n Thúy Vơn, NXB Khoa học vƠ k thu t 1994. Lý thuyết mạch logic và Kỹ thuật số, Nguy n Xuơn Quỳnh, NXB B u đi n 1984. Fundamentals of logic design, fourth edition, Charles H. Roth, Prentice Hall 1991. Digital engineering design, Richard F.Tinder, Prentice Hall 1991. Digital design principles and practices, John F.Wakerly, Prentice Hall 1990. VHDL for Programmable Logic by Kevin Skahill, Addison Wesley, 1996 The Designer's Guide to VHDL by Peter Ashenden, Morgan Kaufmann, 1996. Analysis and Design of Digital Systems with VHDL by Dewey A., PWS Publishing, 1993. www.ptit.edu.vn V1.0 BÀI GI NG MÔN : B GI NG VIÊN: ThS. TR N THÚY HÀ gi ng Đi n MÔN: KBƠi THU T ĐI N tT s- KHOA KTDT1 273