« Home « Kết quả tìm kiếm

Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số


Tóm tắt Xem thử

- PHẠM NGỌC NAM HàNội – Năm 2016 Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 1 HVTH: Đào Minh Thành MỤC LỤC Mở đầu b.
- 52 Chương 3: SỬ DỤNG KIT FPGA ĐỂ THIẾT KẾ MÁY THEO DÕI BỆNH NHÂN ĐA THÔNG SỐ 3.1.
- Sơ đồ khối các thông số trong máy theo dõi bệnh nhân.
- 55 Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 2 HVTH: Đào Minh Thành 3.2.
- 105 Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 3 HVTH: Đào Minh Thành DANH MỤC CÁC HÌNH VẼ Hình 1.1: trúc cơ bản của FPGA Hình 1.2: Khối logic trong FPGA Hình 1.3: Cấu trúc process Hình 1.4: Sơ đồ khối của Testbench Hình 1.5: Giao diện phần mềm ISE Hình 1.6: Các lựa chọn tạo project với Virtex Hình 1.7: Khai báo các cổng cho một ví dụ tạo một counter 4 bit……………..21 Hình 1.8: Thiết lập các tham số mô phỏng Hình 1.9: Thiết lập thời gian đếm tiến, lùi cho counter Hình 1.10: Kết quả mô phỏng của counter Hình 2.1.
- Thông số IC ADC Hình 3.12: Kit DE Hình 3.13: Kit DE1 và phần mềm Hình 3.14: Các thành phần trên Kit DE Hình 3.15: Trình soạn thảo Quatus Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 5 HVTH: Đào Minh Thành Hình 3.16: Màn hình làm việc Quatus Hình 3.17: Cửa sổ Assignment Editor dùng để gán chân……………………70 Hình 3.18: Giao diện nạp code Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 6 HVTH: Đào Minh Thành DANH MỤC CÁC TỪ VIẾT TẮT Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 1 HVTH: Đào Minh Thành MỞ ĐẦU a.
- Thiết bị theo dõi bệnh nhân được thiết kế và chế tạo dựa trên những tiến bộ khoa học kỹ thuật và công nghệ cao của một số nước trên thế giới.
- Với mục tiêu nghiên cứu và học hỏi những khoa học kỹ thuật và công nghệ mới về lĩnh vực này, em đã lựa chọn đề tài “Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số”.
- Với những ưu điểm lớn của công nghệ FPGA là cơ chế tái cấu trúc và tốc độ làm việc cực cao, thì công nghệ FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số sẽ là một hướng nghiên cứu hoàn toàn có khả năng ứng dụng vào thực tế.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 2 HVTH: Đào Minh Thành b.
- Chương 2: Giới thiệu về máy theo dõi bệnh nhân đa thông số.
- Chương 3: Sử dụng Kit FPGA để thiết kế máy theo dõi bệnh nhân đa thông số.
- Trong khuôn khổ luận văn, Em chỉ nghiên cứu và trình bày một cách tổng thể những hiểu biết của em về công nghệ FPGA, máy theo dõi bệnh nhân đa thông số, đưa ra phương án thực hiện việc thiết kế máy theo dõi bệnh nhân đa thông số bằng công nghệ FPGA, phần còn lại là thiết kế, chế tạo một phần trong máy theo dõi bệnh nhân đa thông số.
- Kết hợp giữa các kiến thức về FPGA áp dụng vào bài toán thiết kế máy theo dõi bệnh nhân đa thông số theo sơ đồ khối đã lập ra.
- Đưa ra một cách tổng quan mới dưới con mắt của tác giả trong việc thiết kế máy theo dõi bệnh nhân, mặc dù chưa được tối ưu như những thiết kế của các nhà sản xuất đang cung cấp máy theo dõi bệnh nhân đa thông số.
- Ngoài phần mở đầu, luận văn gồm 03 chương với nội dung chính như sau: Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 3 HVTH: Đào Minh Thành - Chương 1: Giới thiệu về FPGA và ngôn ngữ lập trình VHDL: Trình bày tổng quan về công nghệ FPGA, các khái niệm, ứng dụng, hệ thống mạch liên kết, các phần tử tích hợp sẵn và ngôn ngữ lập trình VHDL.
- Đây chính là các tiền đề cho việc thiết kế nên các hệ thống FPGA.
- Chương 3: Sử dụng Kit FPGA để thiết kế máy theo dõi bệnh nhân đa thông số: Chương này đề cập đến các vấn đề như: Sơ đồ khối tổng thể của máy theo dõi bệnh nhân đa thông số, các loại cảm biến cho từng loại thông số, xử lý tín hiệu cảm biến (chuyển sang tín hiệu số), Kit De1 của Altera được sử dụng để thực hiện bài toán, lập trình và cuối cùng là kết luận chung và hướng phát triển đề tài.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 4 HVTH: Đào Minh Thành Chương I: GIỚI THIỆU VỀ FPGA VÀ NGÔN NGỮ LẬP TRÌNH VHDL 1.1.
- Phần tử thiết kế sẵn khác như DSP slice, RAM, ROM, nhân vi xử lý.
- Hình 1.1: trúc cơ bản của FPGA Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 5 HVTH: Đào Minh Thành FPGA cũng được xem như một loại vi mạch bán dẫn chuyên dụng ASIC, nhưng nếu so sánh FPGA với những ASIC đặc chế hoàn toàn hay ASIC thiết kế trên thư viện logic thì FPGA không đạt đựợc mức độ tối ưu như những loại này, và hạn chế trong khả năng thực hiện những tác vụ đặc biệt phức tạp, tuy vậy FPGA ưu việt hơn ở chỗ có thể tái cấu trúc lại khi đang sử dụng, công đoạn thiết kế đơn giản do vậy chi phí giảm, rút ngắn thời gian đưa sản phẩm vào sử dụng.
- SPLD thường là một mảng logic AND/OR lập trình được có kích thước xác định và chứa một số Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 6 HVTH: Đào Minh Thành lượng hạn chế các phần tử nhớ đồng bộ (clocked register).
- Khối logic Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 7 HVTH: Đào Minh Thành Phần tử chính của FPGA là các khối logic (logic blocks).
- Look Up Table (LUT) Flip- Flop Input Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 8 HVTH: Đào Minh Thành 1.1.4.
- Những ưu điểm của phương pháp thiết kế hệ thống số bằng ngôn ngữ mô phỏng phần cứng (HDL) Ngày nay, các mạch tích hợp ngày càng thực hiện được nhiều chức năng do đó mà vấn đề thiết kế mạch càng trở nên phức tạp.
- Cũng tương tự khi phân tích một hệ Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 9 HVTH: Đào Minh Thành thống người phân tích cần phải phân tích sơ đồ mạch của hệ thống, rồi chuyển nó thành các hàm Boolean, sau đó mới lập lại các chức năng, hoạt động của hệ thống.
- Người thiết kế chỉ có thể sử dụng máy tính làm công cụ hỗ trợ trong việc vẽ sơ đồ mạch của hệ thống và chuyển từ sơ đồ mạch sang công cụ tổng hợp mạch vật lý dùng công cụ Synthesis.
- Một nhược điểm khác nữa của phương pháp thiết kế truyền thống là sự giới hạn về độ phức tạp của hệ thống được thiết kế.
- Phương pháp dùng hàm Boolean chỉ có thể dùng để thiết kế hệ thống lớn nhất biểu diễn bởi vài trăm hàm.
- Còn phương pháp dựa trên sơ đồ chỉ có thể dùng để thiết kế hệ thống lớn nhất chứa khoảng vài nghìn phần tử.
- Hầu hết các công cụ thiết kế dùng ngôn ngữ mô phỏng phần cứng đều cho phép sử dụng biểu đồ trạng thái (finite-state-machine) cho các hệ thống tuần tự cũng như cho phép sử dụng bảng chân lý cho hệ thống tổng hợp.
- Khi mọi lĩnh vực của khoa học đều phát triển không ngừng thì sự phức tạp của hệ thống điện tử cũng ngày một tăng theo và gần như không thể tiến hành thiết Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 10 HVTH: Đào Minh Thành kế thủ công mà không có sự trợ giúp cuả các loại máy tính hiện đại.
- Sau đó VHDL được Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 11 HVTH: Đào Minh Thành đề xuất để tổ chức IEEE xem xét thành một tiêu chuẩn chung.
- Người thiết kế có thể tự do lựa chọn công nghệ, phương pháp thiết kế trong khi chỉ sử dụng một ngôn ngữ duy nhất.
- VHDL được sự hỗ trợ của nhiều nhà sản xuất thiết bị cũng như nhiều nhà cung cấp công cụ thiết kế mô phỏng hệ thống.
- Thứ hai là khả năng hỗ trợ nhiều công nghệ và phương pháp thiết kế.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 12 HVTH: Đào Minh Thành - Thứ tư là khả năng mô tả mở rộng: VHDL cho phép mô tả hoạt động của phần cứng từ mức hệ thống số cho đến mức cổng.
- Như thế ta có thể mô phỏng một bản thiết kế bao gồm cả các hệ con được mô tả chi tiết.
- Các kết quả mô tả hệ thống có thể được trao đổi giữa các nhà thiết kế sử dụng công cụ thiết kế khác nhau nhưng cùng tuân theo tiêu chuẩn VHDL.
- Cũng như một nhóm thiết kế có thể trao đổi mô tả mức cao của các hệ thống con trong một hệ thống lớn (trong đó các hệ con đó được thiết kế độc lập.
- Thứ sáu là khả năng hỗ trợ thiết kế mức lớn và khả năng sử dụng lại các thiết kế: VHDL được phát triển như một ngôn ngữ lập trình bậc cao, vì vậy nó có thể được sử dụng để thiết kế một hệ thống lớn với sự tham gia của một nhóm nhiều người.
- Bên trong ngôn ngữ VHDL có nhiều tính năng hỗ trợ việc quản lý, thử nghiệm và chia sẻ thiết kế.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 13 HVTH: Đào Minh Thành + Kiến trúc (architecture) Phần thứ hai trong mô hình VHDL là khai báo kiến trúc của chương trình.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 14 HVTH: Đào Minh Thành Hình 1.3: Cấu trúc process S: Mô hình cấu trúc B: Mô hình hoạt động S/B: Mô hình kết hợp Cấu trúc tổng quát [Process label] Process [(sensitive_list.
- Môi trường kiểm tra (testbench) Một trong các nhiệm vụ rất quan trọng là kiểm tra bản mô tả thiết kế.
- Kiểm tra một mô hình VHDL được thực hiện bằng cách quan sát hoạt động của nó trong S S B S S/B S B B B B B B Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 15 HVTH: Đào Minh Thành khi mô phỏng và các giá trị thu được có thể đem so sánh với yêu cầu thiết kế.
- Môi trường kiểm tra sinh ra các tác động lên bản thiết kế và cho phép quan sát hoặc so sánh kết quả hoạt động của bản mô tả thiết kế.
- Giới thiệu khái quát về ngôn ngữ Verilog Verilog HDL là một trong hai ngôn ngữ mô phỏng phần cứng thông dụng nhất cùng với VHDL được dùng trong thiết kế IC.
- Verilog HDL cho phép mô phỏng các thiết kế dễ dàng, sửa chữa lỗi, hoặc thực nghiệm bằng những cấu trúc khác nhau.
- Các thiết kế được mô tả trong Verilog HDL là những kỹ thuật độc lập, dễ thiết kế, dễ tháo gỡ và thường dễ đọc hơn ở dạng biểu đồ, đặc biệt là ở các mạch Data Source (stimuli Generator) Observer DUT Generics Testbench Entity Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 16 HVTH: Đào Minh Thành điện lớn.
- Verilog thường được dùng để mô tả thiết kế ở bốn dạng • Thuật toán (một số lệnh giống ngôn ngữ C như: if, case, for,while.
- Khai báo module Một module là bản thiết kế chủ yếu tồn tại trong Verilog.
- Module instantiations Những khai báo module là những khuôn mẫu mà nó được tạo nên từ các đối Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 17 HVTH: Đào Minh Thành tượng thực tế (instantiation).
- Các lệnh thủ tục Verilog được dùng tạo một mẫu thiết kế ở mức cao hơn.
- Chúng chỉ ra những cách thức mạnh của vệc làm ra những thiết kế phức tạp.
- Nếu thêm một quá trình thay đổi nữa cạnh bên phải tín Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 18 HVTH: Đào Minh Thành hiệu trong khoảng thơi gian ∆t, thì không cho kết quả ở ngõ ra.
- ISE Hệ thống phần mềm ISE của Xilinx là một môi trường thiết kế tích hợp bao gồm thiết kế chương trình, mô phỏng và thực hiện các thiết kế trên các thiết bị FPGA hay CPLD.
- ISE có thể tham gia vào việc điều khiển mọi giai đoạn trong quy trình thiết kế.Thông qua giao diện của ISE, người dùng có thể can thiệp vào các Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 19 HVTH: Đào Minh Thành thiết kế và sử dụng các công cụ thực hiện thiết kế.
- Ngoài ra người dùng còn có thể can thiệp vào các file hay tài liệu có liên quan đến project đang thiết kế.
- Cửa sổ xử lí Cửa sổ này cho ta biết các thiết kế đã sẵn sàng để chạy (bao gồm cả mô phỏng và thực thi) hay chưa (ví dụ khi bạn muốn chạy một thiết kế trong file nguồn đã chọn).
- Khi chạy một thiết kế, ISE sẽ tự động chạy các thiết kế nhỏ hỗ trợ cho thiết kế đó.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 20 HVTH: Đào Minh Thành 1.2.2.6.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 21 HVTH: Đào Minh Thành Quan sát thấy rằng hô kiểm tra Add to project được lựa chọn.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 22 HVTH: Đào Minh Thành Để chương trình này có thể chạy đúng được ta phải quan sát và sửa lại một số chỗ cho phù hợp với khai báo ban đầu.
- Cisek Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 32 HVTH: Đào Minh Thành (1972) đưa ra một thiết kế cho một Cardiotachometer xung – xung tuyến tính sử dụng kĩ thuật này.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 33 HVTH: Đào Minh Thành Hình 2.8: Sơ đồ khối một máy 2.3.2 Phép đo nhịp mạch Nhịp mạch được xác định là số lần máu được đẩy vào trong động mạch.
- Có nhiều phương phương pháp đo huyết áp khác nhau, nhưng phân ra làm Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 34 HVTH: Đào Minh Thành 2 loại: đo huyết áp theo phương pháp trực tiếp và đo huyết áp theo phương pháp gián tiếp.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 35 HVTH: Đào Minh Thành Hình 2.9: Ảnh cấy cảm biến trực tiếp vào động mạch Hình trên mô tả sơ đồ mạch điện thông dụng cho việc xử lý tín hiệu điện thu được từ các đầu dò.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 36 HVTH: Đào Minh Thành Thời gian phóng nạp của R3C3 được lựa chọn theo một bộ phát nhỏ được hiển thị trên đồng hồ M1.
- Sau đó các tín hiệu Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 37 HVTH: Đào Minh Thành này được khuếch đại và truyền đi qua các bộ lọc thông dải để loại bỏ nhiễu.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 38 HVTH: Đào Minh Thành Hình trên mô tả cách đặt điện cực để đo huyết áp trong phương pháp này.
- Thiết bị này cũng thực hiện theo lối truyền thống là dùng túi khí bơm căng và cho giảm Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 39 HVTH: Đào Minh Thành dần áp lực.
- Tự động đo huyết áp sử dụng phương pháp hiệu ứng siêu âm Doppler: Các Monitor tự động đo huyết áp cũng được thiết kế dựa vào siêu âm và sự vận động của thành mạch.
- Tại thời điểm này, các mạch audio trong khối RF và khối audio hệ thống con sẽ được điều khiển bởi các tín hiệu điều khiển hệ thống con và các tín hiệu audionày sẽ đưa các tần số Doppler đến Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 40 HVTH: Đào Minh Thành khối điều khiển logic.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 41 HVTH: Đào Minh Thành 2.3.4.
- Hình 2.14: Sơ đồ khối chi tiết đo nhiệt độ hiển thị số trực tiếp Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 42 HVTH: Đào Minh Thành 2.3.5.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 43 HVTH: Đào Minh Thành Các điện cực được gắn lên ngực bệnh nhân để nhận biết ra tín hiệu điều chế.
- Các bộ cảm nhận sẽ nhận biết được sự suy Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 44 HVTH: Đào Minh Thành giảm năng lượng của tia và thực hiện chuyển đổi thành tín hiệu điện.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 45 HVTH: Đào Minh Thành Hình 2.16: Nồng độ CO2 khi hít vào và thở ra Hình dưới đưa ra sơ đồ khối trong việc phân tích khí CO2 trong hơi thở ra.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 46 HVTH: Đào Minh Thành Hình 2.17: Sơ đồ khối của quá trình phân tích khí CO2 trong hơi thở 2.3.6.
- Các tín hiệu Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 47 HVTH: Đào Minh Thành ECG thu được sẽ được khuếch đại và xử lý bởi modul hoặc khối đo ECG và sau đó dữ liệu được chuyển tới BSM và hiển thị dạng sóng ECG trên màn hình.
- Hình 2.18: Các đạo trình chuẩn Hình 2.19: Các đạo trình chi đơn cực Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 48 HVTH: Đào Minh Thành Hình 2.20: Các đạo trình trước ngực Nếu sử dụng cáp điện tim 12 điện cực ta sẽ đo được dạng sóng của 12 đạo trình trên.
- Hình 2.21: Điện tim 12 kênh ghi Hình 2.22: Điện tim 6 kênh ghi Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 49 HVTH: Đào Minh Thành Hình 2.23: Điện tim 3 kênh ghi Hình 2.24: Nhịp tim chuẩn Các điện cực ECG gắn trên da bệnh nhân để thu nhận các tín hiệu điện ECG và được kết nối với một mạch đầu vào của monitor bằng các dây dẫn/ cáp.
- Bộ khuếch đại điều khiển sẽ khuếch đại các tín hiệu ECG tới một biên độ đủ lớn và truyền tín hiệu ECG này tới bộ chuyển đổi AD và khối xử lý trung tâm, sơ đồ khối được mô tả như hình dưới Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 50 HVTH: Đào Minh Thành Hình 2.25: Sơ đồ khối bộ thu nhận tín hiệu ECG Nhiễu điện tim Các loại nhiễu 1- Nhiễu từ các nguồn bên ngoài cơ thể bệnh nhân • Các nguồn tĩnh điện - Bệnh nhân hoạt động như một mặt phẳng của tụ điện - Khi một vật thể được nạp điện được mang lại gần một vật không nạp điện thì vật không mang điện có điện áp cân bằng và đối ngược tăng lên - Ví dụ, nếu một cơ thể không tiếp đất đặt gần một dây cab hoặc nguồn sáng mà được kết nối với mạng lưới, thì điện tích bề mặt của điện áp cân bằng và đối ngược tăng lên mặc dù không có dòng chạy giữa 2 cơ thể.
- Khi điện thế mạng lưới có tần số 50Hz, thì điện áp cảm ứng cũng bao gồm tần số này - Các nguồn nạp tĩnh điện khác bao gồm bàn mổ, những bệnh nhân khác, các thiết bị điện tử • Kích thích điện từ - Nhiễu xảy ra ở gần các dây mang dòng điện xoay chiều Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 51 HVTH: Đào Minh Thành - Hậu quả của nhiễu tần số 50Hz - Do sự phát sinh của từ trường bởi dòng điện chạy thì tất cả các vật dẫn mang dòng điện lưới được bao quanh bởi trường điện từ - Nhiễu tần số 50Hz là một sự sai lệnh về điện thế liên quan tới mặt đất mà được hiểu là bất kì vật nào gần với dây mang dòng xoay chiều, vật đó mang điện áp không phải của đất hoặc của đường dây mà là điện áp ở một vài nơi giữa chúng.
- Sự chồng chéo tần số giữa ECG và ECG - Tín hiệu có thể lớn hơn rất nhiều ( tăng mV) so với ECG hoặc ECG - Các hoạt động của cơ ( đặc biệt là run rẩy) có thể dẫn tới nhiễu Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 52 HVTH: Đào Minh Thành - Nhiễu cơ 3- Nhiễu do sự tiếp xúc giữa điện cực và bệnh nhân • Các điện cực ghi không hoạt động như một vật dẫn thụ động - Việc sắp đặt một kim loại gần với một dung dịch chất điện phân như nhìn thấy trên bề mặt da tạo ra một nửa vùng điện hóa do đó phát sinh ra một lực điện từ.
- Để đo Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 53 HVTH: Đào Minh Thành độ bão hòa ôxi người ta sử dụng pulse oximetor, cảm biến của thiết bị này gồm nguồn sáng kép, photodetector.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 54 HVTH: Đào Minh Thành Hình 2.27: Sự hấp thụ ánh sang hồng ngoại của mô, máu tĩnh mạch, xương và da Tuy nhiên ánh sáng khi xuyên qua vị trí đo không chỉ bị hấp thụ bởi máu động mạch mà còn bởi máu tĩnh mạch và các mô khác.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 55 HVTH: Đào Minh Thành Chương 3: SỬ DỤNG KIT FPGA ĐỂ THIẾT KẾ MÁY THEO DÕI BỆNH NHÂN ĐA THÔNG SỐ 3.1.
- Cảm biến khác nhau theo từng chức năng, nhưng thuật toán xử lý để hiển thị thông số đó lên monitor thì gần như giống hệt nhau, do vậy, ta có thiết kế sơ đồ khối tổng quát như sau: Hình 3.1: Sơ đồ khối chung cho việc hiển thị các thông số trên monitor Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 56 HVTH: Đào Minh Thành Trong đó.
- Cảm biến ECG Hình 3.3: Cảm biến ECG Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 57 HVTH: Đào Minh Thành + Cảm biến nhịp mạch + Cảm biến huyết áp Hình 3.4: Cảm biến huyết áp không xâm lấn (NIBP) G: Vòng bit H: Phích cắm ống dẫn khí I: Ống dẫn khí J: Mũi tên đánh dấu Cảm biến huyết áp hoạt động theo nguyên tắc bơm khí vào vòng bit, vòng bit lại được quấn quanh bắp tay, nơi có động mạch chạy qua.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 58 HVTH: Đào Minh Thành Hình 3.5: Cảm biến huyết áp xâm lấn (IBP) Cảm biến đo huyết áp xâm lấn dựa trên nguyên tắc cắm thẳng đầu kim vào động mạch chủ, máu được lấy ra và qua trực tiếp bộ cảm biến.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 59 HVTH: Đào Minh Thành + Cảm biến nhiệt độ Hình 3.6: Cảm biến theo dõi nhiệt độ bệnh nhân Cảm biến được gắn trực tiếp lên một vị trí của cơ thể bệnh nhân, qua đó thu được giá trị nhiệt độ của bệnh nhân để hiển thị lên màn hình.
- Hình 3.7: Cảm biến SPO2 Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 60 HVTH: Đào Minh Thành Cảm biến được hoạt động theo nguyên tắc quang phổ để đo độ bão hòa oxy trong máu.
- Như đã trình bày ở phần trên, cách xử lý với các loại thông số tương đối giống nhau về mặt cấu trúc và phương pháp thực hiện, vì vậy em xin trình bày về xử dụng FPGA thiết kế bộ hiển thị tín hiệu điện tim ECG (Điện tâm đồ).
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 61 HVTH: Đào Minh Thành Khối nguồn đã được trình bày ở phần trên.
- Biên dịch Khi đã viết code xong cho một chương trình nào đó bạn cần biên dịch để tạo ra những file dùng để nạp lên KIT DE1 Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 71 HVTH: Đào Minh Thành Bước 1: Nhấp chọn mục Processing > Start Complication.
- Hình 3.18: Giao diện nạp code Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 72 HVTH: Đào Minh Thành Bước 2: Nhấp nút Start bên trái cửa sổ để nạp tệp cấu hình này xuống FPGA.
- Design Entry – Mạch sau đã thiết kế sẽ được mô tả bởi các ngôn ngữ mô tả phần cứng như VHDL hay Verilog, hoặc cũng có thể dùng sơ đồ Schematic.
- Ứng dụng FPGA trong thiết kế máy theo dõi bệnh nhân đa thông số GVHD: PGS.TS Phạm Ngọc Nam Page 73 HVTH: Đào Minh Thành 3.2.4

Xem thử không khả dụng, vui lòng xem tại trang nguồn
hoặc xem Tóm tắt